文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑课后答案 第三章

数字逻辑课后答案 第三章

第三章 时序逻辑

1.写出触发器的次态方程,并根据已给波形画出输出 Q 的波形。

解:

2. 说明由RS 触发器组成的防抖动电路的工作原理,画出对应输入输出波形

解:

3. 已知JK 信号如图,请画出负边沿JK 触发器的输出波形(设触发器的初态为0)

4. 写出下图所示个触发器次态方程,指出CP 脉冲到来时,触发器置“1”的条件。

解:(1)

,若使触发器置“1”,则A 、B 取值相异。

(2),若使触发器置“1”,则A 、B 、C 、D 取值为奇数个1。

5.写出各触发器的次态方程,并按所给的CP 信号,画出各触发器的输出波形(设初态为0)

解:

6. 7. 1

)(1

=+++=+c b a Q

a c

b Q n

n B A B A D +=D C B A K J ⊕⊕⊕==Q A

Q B Q D Q C Q E Q F Q G Q H

2

8. 作出状态转移表和状态图,确定其输出序列。 解:求得状态方程如下 故输出序列为:00011

9. 用D 触发器构成按循环码(000→001→011→111→101→100→000)规律工作的六进制同步计数器

解:先列出真值表,然后求得激励方程

PS NS 输出

N

0 0 0 0 0 1 0

0 0 1 0 1 1 0 0 1 1 1 1 1 0 1 1 1 1 0 1 0 1 0 1 1 0 0 0 1 0 0 0 0 0 1

化简得:

逻辑电路图如下:

10. 用D 触发器设计3位二进制加法计数器,并画出波形图。

n Q 2n Q 1n Q 012+n Q 11+n Q 10+n Q

3

11. 用下图所示的电路结构构成五路脉冲分配器,试分别用简与非门电路及74LS138集成译码器构成这个译码器,并画出连线图。

解:先写出激励方程,然后求得状态方程

得真值表

得状态图

若用与非门实现,译码器输出端的逻辑函数为:

若用译码器74LS138实现,译码器输出端的逻辑函数为:

12

若将下图接成12进制加法器,预置值应为多少?画出状态图及输出波形图。 解:预置值应C=0,B =1,A =1。

13. 分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明它是Mealy 型电路还是Moore 型电路以及电路的功能。 解: 电路的状态方程和输出方程为: 该电路是Moore 型电路。

当X=0时,电路为模4加法计数器; 当X=1时,电路为模4减法计数器

14. 分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明这个电路能对何种

序列进行检测?

解:电路的状态方程和输出方程为:由此可见,凡输入序列 “110”,输出就为“1” 。

15. 作“101”序列信号检测器的状态表,凡收到输入序列101时,输出为 1 ;并规定检测的101序列不重叠。

解: 根据题意分析,输入为二进制序列x ,输出为Z ;且电路应具有3个状态: S0、S1、S2。列状态图和状态表如下:

X =1

X =0

NS / Z PS

16. 某计数器的波形如图示。

解:(1)确定计数器的状态

计数器循环中有7个状态。

17. 对状态表进行编码,并做出状态转移表,用D触发器和与非门实现。

,C=10,D=11,则

电路的状态方程和输出方程为

18. 某时序机状态图如下图所示。请用“一对一法”设计其电路解:

19.某时序机状态图如下所示,用“计数器法”设计该电路

解:

若编码为:S0=00 S1=01 S2=11 S3=10:

次态方程为:

n

n

n

n

n

n

n

n

Q

Q

KQ

Q

K

Q

KQ

Q

K

Q

2

1

2

1

1

2

2

1

1

1

+

+

=

+

=

+

+

4

数字逻辑+课后答案数字逻辑+课后答案

习题解答 1-3:(1)(1110101)2=(117)10=(165)8=(75)16 (2)(0.110101.2=(0.828125)10=(0.65)8=(0.D4)16 (3)(10111.01)2=(23.25)10=(27.2)8=(17.4)16 1-7:[N ]原=1.1010;[N ]反=1.0101;N =-0.1010 1-10:(1)(011010000011)8421BCD =(683)10=(1010101011)2 (2)(01000101.1001)8421BCD =(45.9)10=(101101.1110)2 2-4:(1)()();'()()F A C B C F A C B C =++=++ (2)()()();'()()()F A B B C A CD F A B B C A CD =+++=+++ (3)[()()];'[()()]F A B C D E F G F A B C D E F G =++++=++++ 2-6:(1)F =A +B (2)F =1 (3)F =A BD + 2-7:(1)F (A ,B ,C )=ABC ABC ABC ABC ABC ++++=∑m(0,4,5,6,7); F (A ,B ,C )=()()()A B C A B C A B C ++++++=∏M(1,2,3) (2)F (A ,B ,C ,D )=∑m(4,5,6,7,12,13,14,15); F (A ,B ,C ,D )=∏M(0,1,2,3,8,9,10,11) (3)F (A ,B ,C ,D )=∑m(0,1,2,3,4); F (A ,B ,C ,D )=∏M(5,6,7,8,9,10,11,12,13,14,15) 2-8:(1) F (A ,B ,C )=()A C BC A B C +=+ (2)F (A ,B ,C ,D )=()()AB AC BC A B C A B C ++=++++ (3)F (A ,B ,C ,D )=B D B D +=+ 2-11:(1)F (A ,B ,C ,D )=A BD +, ∑d(1,3,4,5,6,8,10)=0; (2) 123(,,,)(,,,)(,,,)F A B C D BD ABCD ABCD ABD F A B C D BD ABCD ACD A CD F A B C D ABCD ABCD ABC =+++=+++=++, 3-1:(1)F (A ,B ,C )=AC BC AC BC +=? F (A ,B ,C )=()()A C B C A C B C ++=+++ (2)F (A ,B ,C )=∏M(3,6)=B AC AC B AC AC ++=?? F (A ,B ,C )=∏M(3,6)=()()A B C A B C A B C A B C ++++=+++++

数字逻辑(第二版)毛法尧课后题答案(1-6章)

习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10 ⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵(0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶(33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011 ⑵0.0000 [0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000 ⑶-10110 [-10110]原=110110; [-10110]反=101001; [-10110]补=101010 1.7 已知[N]补=1.0110,求[N]原,[N]反和N. 解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010 1.8 用原码、反码和补码完成如下运算: ⑴0000101-0011010 [0000101-0011010]原=10010101; ∴0000101-0011010=-0010101。 [0000101-0011010]反=[0000101]反+[-0011010]反=00000101+11100101=11101010 ∴0000101-0011010=-0010101 [0000101-0011010]补=[0000101]补+[-0011010]补=00000101+11100110=11101011 ∴0000101-0011010=-0010101 ⑵0.010110-0.100110 [0.010110-0.100110]原=1.010000; ∴0.010110-0.100110=-0.010000。 [0.010110-0.100110]反=[0.010110]反+[-0.100110]反=0.010110+1.011001=1.101111

数字逻辑第三章课后答案

3-1 首先进行逻辑抽象。题目中输入为10个十进制数据,设为I 0到I 9。输出为四位的8421码,设为Y 0到Y 3。由此得系统框图为: 接着进行逻辑分析。假设输入数据中,I 9的优先权最高,I 0最低。则真值表为: 根据真值表,得逻辑函数表达式为: 899893I I I I I Y +=?+= 9 849859869879876549876598769872I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I Y ??+??+??+??=?????+????+???+??= 9 854329854398698798765432987654398769871I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I Y ?????+????+??+??=???????+??????+???+??= 8 6421864386587998765432198765439876598790I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I Y ????+???+??+?+=????????+??????+????+??+= 最后,采用数据流描述方法,根据逻辑函数表达式,得VHDL 描述的程序: 实体: library IEEE;

use IEEE.std_logic_1164.all; entity encoder8421 is port( I: in std_logic_vector(0 to 9); Y: out std_logic_vector(3 downto 0) ); end encoder8421; 结构体: architecture encoder8421a of encoder8421 is signal tmp1,tmp2,tmp3: std_logic; begin Y(3)<=I(8) or I(9); tmp1<=(not I(8)) and (not I(9)); Y(2)<=(I(7) or I(6) or I(5) or I(4)) and tmp1; tmp2<=(not I(4)) and (not I(5)); Y(1)<=( I(7) or I(6) or (I(3) and tmp2) or (I(2) and (not I(3)) and tmp2)) and tmp1; tmp3<=(not I(8)) and (not I(6)); Y(0)<=I(9) or (I(7) and (not I(8))) or (I(5) and tmp3) or (I(3) and (not I(4)) and tmp3) or (I(1) and (not I(2)) and (not I(4)) and tmp3); end encoder8421a; 3-3 首先进行逻辑抽象。题目中输入为一组4位的二进制数,设为A3 A2A1A0。4位二进制代码从0000~1111共16个码字,因此,输出是输入对应的16个信号,用Y15~Y0表示。由此得系统框图为: A A 15 0 接着进行逻辑分析。当译码器的输入是0000时,Y0有效,输入是0001时,Y1有效。依次类推,得到对应的真值表:

数字逻辑课程三套作业及答案资料

数字逻辑课程作业A 、单选题。 1.(4 分)如图xl-229 某一译码器的输出端共有臼种不的组颌U其输入端備几个输入线? (A)3;(B J4;(0)5; 1D16 A.(A) B.(B) C.(C) D.(D) 知识点:第五章 解析第五章译码器 2.(4 分)如图xl-82 F图所示河一逻辑电路,八"是输入端,F是输出端,则其输出与输入关系式是, {AiiA+B}iC+ DiE; .B^A+B+C+p-FE); iC) (A +云)QO+童); (D)AB[CD+Ei (C ) A.(A) B.(B)

C.(C)

D.(D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为( A.N B.2N C.N2次方 D.2N次方 知识点:第九章解析第九章计数器 4.(4分)n个触发器构成的扭环型计数器中,无效状态有( B. B.2n C.C. 2n —1 D. D . 2n-2n 知识点:第九章 解析第九章集成计数器 5.(4 分)如图X1-293D )的计数器。 D )个。

在数字系统中其信号系 仅貝E与即高电位与低电位两种: 迢】依电压犬小不等而定; 依电流大小不等而定; ①〕看需要而定 A.(A) B.(B) C.(C) D.(D) 知识点:第十一章解析第十一章数字系统概述 6.(4 分)如图X1-317 和项#只式的基本架构矢何? | A A'A ND—MAXD ? IB i A XD—OR;(Q AND ― A.(A) B.(B) C.(C) D.(D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4 分)EPROM 是指( C ) A.A、随机读写存储器 B. B、只读存储器 C.C、光可擦除电可编程只读存储器R? (DiO罠一AND (D )

数字逻辑课程作业答案

数字逻辑课程作业_A 交卷时间:2016-05-04 16:55:11 一、单选题 1. (4分)如图x1-275 A. (A) B. (B) C. (C) D. (D) 纠错 得分:0 知识点:第一章 收起解析 答案D 解析第一章补码 2. (4分)以下电路中常用于总线应用的有()

A. TSL门 B.OC门 C. 漏极开路门 D.CMOS与非门 纠错 得分:0 知识点:第三章 收起解析 答案A 解析第三章其他类型的TTL与非门电路 3. (4分)如果异步二进制计数器的触发器为10个,则计数状态有()种 A. A:20 B. B:200 C. C:1000 D. D:1024 纠错 得分:0 知识点:第九章 收起解析 答案D

解析第九章计数器 4. (4分)用n个触发器构成的计数器,可得到的最大计数模是() A. (A) n B. (B) 2n C. (C) 2n D. (D)2n-1 纠错 得分:4 知识点:第六章 收起解析 答案C 解析第六章触发器电路结构和工作原理 5. (4分)如图x1-109 A. (A) B. (B)

C. (C) D. (D) 纠错 得分:0 知识点:第四章 收起解析 答案C 解析第四章组合逻辑电路的分析6. (4分)如图x1-229 A. (A) B. (B) C. (C) D. (D) 纠错 得分:0 知识点:第五章 收起解析

答案D 解析第五章译码器 7. (4分)如图x1-218 A. (A) B. (B) C. (C) D. (D) 纠错 得分:0 知识点:第十一章 收起解析 答案C 解析第十一章数字系统概述8.

数电课后答案康华光第五版(完整)

第一章数字逻辑习题 1.1数字电路与数字信号 1.1.2 图形代表的二进制数 1.1.4一周期性数字波形如图题所示,试计算:(1)周期;(2)频率;(3)占空比例 MSB LSB 0 1 2 11 12 (ms)解:因为图题所示为周期性数字波,所以两个相邻的上升沿之间持续的时间为周期,T=10ms 频率为周期的倒数,f=1/T=1/=100HZ 占空比为高电平脉冲宽度与周期的百分比,q=1ms/10ms*100%=10% 数制 1.2.2将下列十进制数转换为二进制数,八进制数和十六进制数(要求转换误差不大于42

(2)127 (4) 解:(2)(127)D=72-1=()B-1=(1111111)B=(177)O=(7F)H (4)()D=B=O=H 二进制代码 1.4.1将下列十进制数转换为8421BCD码: (1)43 (3) 解:(43)D=(01000011)BCD 1.4.3试用十六进制写书下列字符繁荣ASCⅡ码的表示:P28 (1)+ (2)@ (3)you (4)43 解:首先查出每个字符所对应的二进制表示的ASCⅡ码,然后将二进制码转换为十六进制数表示。 (1)“+”的ASCⅡ码为0101011,则(00101011)B=(2B)H (2)@的ASCⅡ码为1000000,(01000000)B=(40)H (3)you的ASCⅡ码为本1111001,1101111,1110101,对应的十六进制数分别为79,6F,75 (4)43的ASCⅡ码为0110100,0110011,对应的十六紧张数分别为

34,33 逻辑函数及其表示方法 1.6.1在图题1. 中,已知输入信号A,B`的波形,画出各门电路输出L的波形。 解: (a)为与非, (b)为同或非,即异或 第二章逻辑代数习题解答 2.1.1 用真值表证明下列恒等式 (3)A B AB AB ⊕=+(A⊕B)=AB+AB 解:真值表如下

数字逻辑第四版课后练习题含答案

数字逻辑第四版课后练习题含答案 1. 第一章 1.1 课后习题 1. 将十进制数22转换为二进制数。 答:22 = 10110 2. 将二进制数1101.11转换为十进制数。 答:1101.11 = 1 x 2^3 + 1 x 2^2 + 0 x 2^1 + 1 x 2^0 + 1 x 2^(-1) + 1 x 2^(-2) = 13.75 3. 将二进制数1101.01101转换为十进制数。 答:1101.01101 = 1 x 2^3 + 1 x 2^2 + 0 x 2^1 + 1 x 2^0 + 0 x 2^(-1) + 1 x 2^(-2) + 1 x 2^(-4) + 0 x 2^(-5) + 1 x 2^(-6) = 13.40625 1.2 实验习题 1. 合成与门电路 设计一个合成与门电路,使得它的输入A,B和C,只有当A=B=C=1时输出为1,其他情况输出为0。 答:下面是一个合成与门电路的示意图。 合成与门电路示意图 其中,S1和S2是两个开关,当它们都被打开时,电路才会输出1。

2. 第二章 2.1 课后习题 1. 将十进制数168转换为八进制数和二进制数。 答:168 = 2 x 8^3 + 1 x 8^2 + 0 x 8^1 + 0 x 8^0 = 250(八进制)。 168 = 10101000(二进制)。 2. 将八进制数237转换为十进制数和二进制数。 答:237 = 2 x 8^2 + 3 x 8^1 + 7 x 8^0 = 159(十进制)。 237 = 010111111(二进制)。 2.2 实验习题 1. 全加器电路 设计一个全加器电路,它有三个输入A,B和C_in,两个输出S和C_out。 答:下面是一个全加器电路的示意图。 C_in | / \\ / \\ / \\ / \\ / \\ A|________ \\ | | AND Gate ______| | B|__| XOR |_| S \\

数字逻辑知到章节答案智慧树2023年江西理工大学

数字逻辑知到章节测试答案智慧树2023年最新江西理工大学第一章测试 1.四位二进制数的最大数是()。 参考答案: 1111 2.将数1101.11B转换为十六进制数为()。 参考答案: D.CH 3.十数制数2006.375转换为二进制数是()。 参考答案: 11111010110.011 4.将十进制数130转换为对应的八进制数()。 参考答案: 202 5.四位二进制数0111加上0011等于1010。() 参考答案: 对

6.16进制数2B等于10进制数()。 参考答案: 43 7.16进制数3.2等于2进制数()。 参考答案: 11.001 8.十进制数9比十六进制数9小。() 参考答案: 错 9.与八进制数(47.3)8等值的数为() 参考答案: (100111.011)2;(27.6)16 10.有符号数10100101的补码是()。 参考答案: 11011011 11.[X]补+[Y]补=()。 参考答案: [X+Y]补

12.十进制数7的余3码是()。 参考答案: 1010 13.以下代码中为无权码的为()。 参考答案: 余三码;格雷码 14.格雷码具有任何相邻码只有一位码元不同的特性。() 参考答案: 对 第二章测试 1.逻辑函数的表示方法中具有唯一性的是()。 参考答案: 卡诺图;真值表 2.在何种输入情况下,“与非”运算的结果是逻辑0。() 参考答案: 全部输入是1

3.逻辑变量的取值1和0可以表示()。 参考答案: 电位的高、低;真与假;开关的闭合、断开;电流的有、无 4.A’+B’等于()。 参考答案: (AB)’ 5.以下表达式中符合逻辑运算法则的是()。 参考答案: A+1=1 6.逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。 () 参考答案: 对 7.求Y=A(B+C)+CD的对偶式是()。 参考答案: (A+BC)(C+D)

数字逻辑参考答案

数字逻辑参考答案 数字逻辑参考答案 数字逻辑是计算机科学中的一个重要分支,它研究的是数字信号和数字电路的 设计与实现。在数字逻辑中,我们常常需要解决各种逻辑问题,包括逻辑运算、逻辑门电路的设计和分析等。本文将为大家提供一些常见数字逻辑问题的参考 答案,希望能对大家的学习和研究有所帮助。 1. 逻辑运算 逻辑运算是数字逻辑中最基础的概念之一。常见的逻辑运算包括与运算、或运算、非运算等。下面是一些逻辑运算的参考答案: - 与运算(AND):输入A和B,输出为A与B的逻辑与结果。逻辑表达式为: C = A AN D B。 - 或运算(OR):输入A和B,输出为A与B的逻辑或结果。逻辑表达式为:C = A OR B。 - 非运算(NOT):输入A,输出为A的逻辑非结果。逻辑表达式为:B = NOT A。 2. 逻辑门电路 逻辑门电路是数字逻辑中常见的电路实现方式,可以用于实现各种逻辑功能。 常见的逻辑门包括与门、或门、非门等。下面是一些逻辑门电路的参考答案: - 与门(AND Gate):输入A和B,输出为A与B的逻辑与结果。逻辑表达式为:C = A AND B。可以使用两个晶体管和一个电阻来实现与门电路。 - 或门(OR Gate):输入A和B,输出为A与B的逻辑或结果。逻辑表达式为:C = A OR B。可以使用两个晶体管和一个电阻来实现或门电路。

- 非门(NOT Gate):输入A,输出为A的逻辑非结果。逻辑表达式为:B = NOT A。可以使用一个晶体管和一个电阻来实现非门电路。 3. 布尔代数 布尔代数是数字逻辑中的一种代数系统,它由三个基本运算符(与、或、非) 和一些基本规则组成。布尔代数可以用来描述和分析逻辑运算和逻辑门电路。 下面是一些布尔代数的参考答案: - 分配律:对于任意的A、B和C,有A AND (B OR C) = (A AND B) OR (A AND C) 和 A OR (B AND C) = (A OR B) AND (A OR C)。 - 吸收律:对于任意的A和B,有A OR (A AND B) = A 和 A AND (A OR B) = A。- 德摩根定律:对于任意的A和B,有NOT (A AND B) = (NOT A) OR (NOT B) 和 NOT (A OR B) = (NOT A) AND (NOT B)。 4. 逻辑电路的设计 逻辑电路的设计是数字逻辑中的重要内容之一。它涉及到如何将逻辑功能转化 为逻辑门电路的设计和布局。下面是一些逻辑电路设计的参考答案: - 4位全加器(4-bit Full Adder):输入A、B和进位Cin,输出为A和B的和S 和进位Cout。可以使用四个半加器和一个或门来实现4位全加器电路。 - 4位比较器(4-bit Comparator):输入A和B,输出为A和B的大小关系。 可以使用四个异或门和一个或门来实现4位比较器电路。 - 4位译码器(4-to-16 Decoder):输入为4位二进制数A,输出为对应的16 位二进制数。可以使用四个与非门和一个或非门来实现4位译码器电路。 总结: 数字逻辑是计算机科学中的一个重要分支,它研究的是数字信号和数字电路的

数字逻辑电路与系统设计习题答案

第1章习题及解答 1.1 将下列二进制数转换为等值的十进制数。 (1)(11011)2 (2)(10010111)2 (3)(1101101)2 (4)(11111111)2 (5)(0.1001)2(6)(0.0111)2 (7)(11.001)2(8)(101011.11001)2 题1.1 解: (1)(11011)2 =(27)10 (2)(10010111)2 =(151)10 (3)(1101101)2 =(109)10 (4)(11111111)2 =(255)10(5)(0.1001)2 =(0.5625)10(6)(0.0111)2 =(0.4375)10(7)(11.001)2=(3.125)10(8)(101011.11001) 2 =(43.78125)10 1.3 将下列二进制数转换为等值的十六进制数和八进制数。 (1)(1010111)2 (2)(110111011)2 (3)(10110.011010)2 (4)(101100.110011)2 题1.3 解: (1)(1010111)2 =(57)16 =(127)8 (2)(110011010)2 =(19A)16 =(632)8 (3)(10110.111010)2 =(16.E8)16 =(26.72)8 (4)(101100.01100001)2 =(2C.61)16 =(54.302)8 1.5 将下列十进制数表示为8421BCD码。 (1)(43)10 (2)(95.12)10 (3)(67.58)10 (4)(932.1)10 题1.5 解: (1)(43)10 =(01000011)8421BCD (2)(95.12)10 =(10010101.00010010)8421BCD (3)(67.58)10 =(01100111.01011000)8421BCD (4)(932.1)10 =(0.0001)8421BCD 1.7 将下列有符号的十进制数表示成补码形式的有符号二进制数。

数字逻辑习题与答案

《数字逻辑与数字系统(第四版)》,白中英 第1章习题P30 7证明下列等式 ⑵ ABC ABC ABC AB AC 证明: 左式 ABC ABC ABC ABC AC AB 8用布尔代数简化下列各逻辑函数表达式 ⑷ 解: F AC ABC BC ABC F (AC ABC)(B C)(A B C) (ABC ABC )(A B C) BC(A B C) ABC BC BC 9将下列函数展开为最小项表达式 ⑴ F(A,B,C) A(B C) 解: F (代 B,C) A BC A(B B) (A A)BC AB AB ABC ABC AB(C C) AB(C C) ABC ABC ABC ABC ABC ABC ABC ABC m((145,6,7) 10用卡诺图化简下列各式 (2) F ABCD ABCD AB AD ABC 解: A I B | 习题与答案 由卡诺图知,F AB AD

(4) F(A,B,C,D) m(0,13,14,15) (1,2,3,9,10,11) 解: 1000 x01x D x01x x01x AB AC AD 12逻辑函数X AB BC CA,试用真值表、卡诺图、逻辑图、波形图表示该函数。解:(1)真值表(2)卡诺图 ABC F 0 0 00 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 10 (3)逻辑图(4)波形图 A B C F 14输入信号A , B , C的波形如图P1.2所示,试画出电路输出F1、F2的波形图

解: F1 AB AB A B F2 F1 C 波形如下: C ----- 1 ----- 1 ---- 1 ---- I I I I I I I 第2章习题P56 2.分析图P2.2所示逻辑电路,其中 S3、S2、S1、SO 为控制输入端,列出真值表,说明 F 与A ,B 的关系。 A B O F2 A B S1 SO F1 - 「 「 _ I I I I I I I

数字逻辑课后答案 第三章

第三章 时序逻辑 1.写出触发器的次态方程,并根据已给波形画出输出 Q 的波形。 解: 2. 说明由RS 触发器组成的防抖动电路的工作原理,画出对应输入输出波形 解: 3. 已知JK 信号如图,请画出负边沿JK 触发器的输出波形(设触发器的初态为0) 1 )(1 =+++=+c b a Q a c b Q n n

4. 写出下图所示个触发器次态方程,指出CP 脉冲到来时,触发器置“1”的条件。 解:(1) ,若使触发器置“1”,则A 、B 取值相异。 (2),若使触发器置“1”,则A 、B 、C 、D 取值为奇数个1。 5.写出各触发器的次态方程,并按所给的CP 信号,画出各触发器的输出波形(设初态为0) 解: 6. 设计实现8位数据的串行→并行转换器。 B A B A D +=D C B A K J ⊕⊕⊕= = Q A Q B Q D Q C Q E Q F Q

7. 分析下图所示同步计数电路 解:先写出激励方程,然后求得状态方程 状态图如下: 该计数器是五进制计数器,可以自启动。8. 作出状态转移表和状态图,确定其输出序列。解:求得状态方程如下 故输出序列为:00011

9. 用D 触发器构成按循环码(000→001→011→111→101→100→000)规律工作的六进制同步计数器 解:先列出真值表,然后求得激励方程 PS NS 输出 N 0 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 1 1 1 0 1 1 1 1 0 1 0 1 0 1 1 0 0 0 1 0 0 0 0 0 1 化简得: 逻辑电路图如下: n Q 2n Q 1n Q 012+n Q 1 1+n Q 10+n Q n n n n n n n n n n n n Q Q Q Q Q Q Q Q Q Q Q Q Z 121002*********+==+==+++n n n n n n n n n n Q Q Q D Q Q Q D Q Q Q Q D 1 2 1 2 1 1 1 2 1 1 2 2 +====+==+++

数字逻辑(第二版)毛法尧课后题答案(1-6章)

数字逻辑(第二版)毛法尧课后题答案(1-6章)

习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10

⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵ (0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶ (33.333)10=(21.553F7)16=(100001.010101)2=(41.252 37)8

1.9 分别用“对9的补数”和“对10的补数”完成下列十进制数的运算: ⑴2550-123 [2550-123]9补=[2550]9补+[-123]9补=02550+99876=02427 ∴2550-123=2427 [2550-123]10补=[2550]10补+[-123]10补=02550+99877=02427 ∴2550-123=2427 ⑵537-846 [537-846]9补=[537]9补+[-846]9补=0537+9153=9690 ∴537-846=-309 [537-846]10补=[537]10补+[-846]10补=0537+9154=9691 ∴537-846=-309 1.10 将下列8421BCD码转换成二进制数和十进制数: ⑴

数字逻辑课后题答案

习题一 1.1 把下列不同进制数写成按权xx: ⑴ (4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵ (10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶ (325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷ (785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴ (1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵ (0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10 ⑶ (10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位:

⑴ (29)10=(1D)16=(11101)2=(35)8 ⑵ (0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶ (33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)8 1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴ 0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011 ⑵ 0.0000

相关文档
相关文档 最新文档