文档库 最新最全的文档下载
当前位置:文档库 › EDA技术及应用实训报告

EDA技术及应用实训报告

EDA技术及应用实训报告
EDA技术及应用实训报告

桂林电子科技大学信息科技学院《EDA技术及应用》实训报告

学号1252100301

姓名

指导教师:覃琴

2014年4 月29 日

实训题目:数字日历电路

1 系统设计

1.1 设计要求

1.1.1 设计任务

(1)用Verilog HDL语言设计出能够在EDA实训仪的I/O设备和PLD芯片实现的数字日历。

(2)数字日历能够显示年、月、日、时、分和秒。

(3)用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年、月、日(如20140101),然后在另一时间段内显示时、分、秒(如010101099),两个时间段能自动倒换。

(4)数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时。

(5)体现创新部分

1.1.2 性能指标要求

1)数字电路能够在一定的时间内显示切换的功能,并且能手动校准年月日和时分秒

2)具有复位和进位的功能

3)能起到提示的作用,如闹钟或亮彩灯等。

1.2 设计思路及设计框图

1.2.1设计思路

如图1.2.2所示

1) EDA实训箱上的功能有限,可以用到的有8支数码管和12个lED灯。年、月、日和时、分、秒可以通过数码管显示,年月日和时分秒的切换可以通过拨动开关控制,校正可以通过按键实现。

2)输入的秒脉冲由DEA实训仪上的20MHZ晶振经过分频得到,秒脉冲经过60分频后产生1分钟脉冲信号,在经过60分频后产生1小时的脉冲信号,最后进行24分频,得到1天的脉冲送24进制的 cout输出。在将两个60分频和一个24分频的输出送到送到数码管的译码器输入端,得到24小时的计时显示结果。由此得到数字日历的计时器模块。

1.2.2设计框图

cout

cout

秒脉冲

图1.2.2数字钟的原理框图

2 各个模块程序的设计

2.1图1 1HZ 秒脉冲的分频模块元件符号

2.1输入的秒脉冲由EDA 实训仪上的20MHZ 晶振经过分频得到,设计一个输出频率为1HZ 的秒脉冲。图1是1HZ 秒脉冲的分频模块元件符号

显示器 显示器

显示器

20MHZ 晶振

六十进制计数器(分)

六十进制计数器(分) 二十四进制计数器(时)

校时电路

输 入 电 路

图1 1HZ秒脉冲的分频模块元件符号

2.2 图2是cnt24与cnt60模块设计的元件符号

图2 cnt24与cnt60模块的元件符号

2.3 图3是计时器设计原理图

clk秒时钟输入端,clrn清除输入端,低电平有效;jm、jf 、js分别是校秒、校分和校时的输入端,下降沿有效;qm[7..0]、qf[7..0]和qs[7..0]分别是秒、分、和时的输出端;cout是“天”脉冲输出端。

2.4数字日历电路的设计

数字电路原理图包括包括计时器模块(jsq)、年月日模块(nyr2014)、控制模块(cour)、校时选择模块(xs_6)、显示选择模块(mux_16)和流水灯(LED)提示模块。图4是数字日历设计的原理图。

图4是数字日历设计的原理图

2.5控制模块的设计

图5是控制模块的元件符号

图5 控制模块的元件符号

clk是1s时钟输入端;k1和k2是控制输入端,k是控制输出端。k1k2=00或11时是自动显示模块,控制数码器用8s钟时间显示年月日8s显示时分秒,k1k2=01时仅控制显示时分秒,同时用j1、j2、j3校秒、校分和校时,k1k2=10时,仅显示年、月、日,同时用j1、j2、j3校年、校月和校日。

2.6校时选择模块的设计

校时选择模块的元件符号如图6所示。k是控制输入端,k=0是,控制将校时按钮j1、j2和j3的信号分别分别送到计时器模块的jm、jf、js,k=1时校时按钮j1、j2、j3的信号分别送到年月日模块的jr、jy、jn。

图6校时选择模块的元件符号

2.7显示选择模块

图7是显示选择模块的元件符号。k是控制输入端,K=0时,控制将计时器模块送来的qm[7..0]、qf[7..0]、和qs[7..0]状态信号送到数码管显示。k=1时将年月日送来的qr[7..0]、qy[7..0]、qn[7..0]状态信号送到数码管显示。

图7 显示选择模块的元件符号

2.8流水灯提示模块的设计

图8是流水灯提示模块的元件符号。clk是一秒钟输入端,K是控制输入端,qy[7..0](月)、qr[7..0](日)是状态信号送到数码管显示。qo[11..0]是输出的流水灯

图8 流水灯提示模块的元件符号

3 调试过程

当数字日历得所有模块编译成功后我首次下载到实训箱上时,它并不能实现进位的功能,经调整。数字日历的各基本功能通实现后,在其基础上新增了彩灯控制模块。经过逐条编写和更正。程序最终通过编译并能顺利下载到实训箱上进行验证。

4 功能测试

4.1 测试仪器与设备

EDA实训箱

4.2 性能指标测试

当所设定的生日到时流水灯能顺利的实现该提示功能,不过自动显示模块还是没能很准确的进行累加,该功能的延时时间超出了设计的时间。

5 实训心得体会

从陌生到熟悉,我基本上的摸清了QuartusII9.0的用法。并逐渐掌握了verilog HDL语言的规则。并能编写简单的程序。经过查找资料和各个老师的耐心指导。我完成了数字日历电路的设计。不仅添加了创新部分,还在EDA实训仪上成功实现了它的彩灯旋转功能。并用一个拨动开关来控制灯的亮灭。记得刚开始拿到实训课题时,我那一脸的茫然,不知从哪儿入手。来回跑了图书馆好几趟,最后跟着舍友起步。从编写代码开始,经编译后逐个查找错误并纠正。几番波折,最终完成了数字日历的设计和添加了创新功能。

通过此次EDA实训我熟练的掌握了QuartusII9.0软件,并能通过波形仿真更加透彻的掌握了程序与该设计。从编程到生成元器件再到波形仿真和成功下载,给我的最大体会是一步一个脚印,付出总会有回报的。

6 参考文献

【1】EDA技术与应用江国强

【2】EDA技术与verilog设计王金明冷自强

附录

附录1:仿真波形图(部分模块)cnt24模块仿真波形图

nyr模块仿真波形图

附录2:程序清单

2.1 分频器模块

module s_1 ( clk,cout);

input clk;

reg [24:0] q;

output reg cout;

always @(posedge clk)

begin

if (q==20000000-1) q=0; //1s

else q=q+1;

if(q<=10000000-1) cout=1; //0.5s

else cout=0;

end

Endmodule

2.2 cnt24计数器源程序

module cnt24(clk,clrn,j,q,cout); //clk秒时钟输入端,clrn清除输入端,cout“天”脉冲输出端

input clk,clrn,j; //j校时输入端,

output reg [7:0] q;

output reg cout;

always @ (posedge clk^j or negedge clrn) //j与时钟输入端clk异或就可以达到校时的作用

begin

if (~clrn) q=0; //低电平有效

else begin

if (q=='h23) q=0;

else q=q+1;

if (q[3:0]=='ha) begin

q[3:0]=0; q[7:4]=q[7:4]+1; end

if (q=='h23) cout=1;

else cout=0;end

end

endmodule

2.3 cnt60计数器源程序

module cnt60(clk,clrn,j,q,cout);

input clk,clrn,j;

output reg [7:0] q;

output reg cout;

always @ (posedge clk^j or negedge clrn) begin

if (~clrn) q=0;

else begin

if (q=='h59) q=0;

else q=q+1;

if (q[3:0]=='ha) begin

q[3:0]=0; q[7:4]=q[7:4]+1; end

if (q=='h59) cout=1;

else cout=0;end

end

endmodule

2.4 年月日模块

module nyr2014(clrn,clk,jn,jy,jr,qn,qy,qr); input clrn,clk,jn,jy,jr;

output [15:0] qn;

output [7:0]qy,qr;

reg [15:0] qn;

reg[7:0] qy,qr;

reg clkn,clky;

reg[7:0] date;

reg clkn1, clkn2 , clkn3;

initial begin clkn1=1; clkn2=2 ; clkn3=1;end

initial begin qn='h2000;qy=1;qr=1;end

always @ (posedge (clk^jr) or negedge clrn) begin

if (~clrn) qr=1;

else begin

if (qr==date) qr=1;

else qr=qr+1;

if (qr[3:0]=='ha) begin

qr[3:0]=0; qr[7:4]=qr[7:4]+1; end

if (qr==date) clky=1;

else clky=0;end

end

always @ (posedge clky^jy or negedge clrn)

begin

if (~clrn) qy=1;

else begin

if (qy=='h12) qy=1;

else qy=qy+1;

if (qy[3:0]=='ha) begin

qy[3:0]=0; qy[7:4]=qy[7:4]+1; end

if (qy=='h12) clkn=1;

else clkn=0;end

end

always

begin

case(qy)

'h01: date='h31;

'h02: begin

if ((qn/4==0)&(qn/100!=0)|(qn/400==0)) date='h29; else date='h28;end

'h03: date='h31;

'h04: date='h30;

'h05: date='h31;

'h06: date='h30;

'h07: date='h31;

'h08: date='h31;

'h09: date='h30;

'h10: date='h31;

'h11: date='h30;

'h12: date='h31;

default :date='h30;

endcase

end

always @ (posedge (clkn^jn) or negedge clrn) begin

if (~clrn) qn[3:0]=0;

else begin if (qn[3:0]==9) qn[3:0]=0; else qn[3:0]= qn[3:0]+1;

if (qn[3:0]==9) clkn1=0;

else clkn1=1;end

end

always @ (posedge clkn1 or negedge clrn)

begin

if (~clrn) qn[7:4]=0;

else begin if (qn[7:4]==9) qn[7:4]=0; else qn[7:4]=qn[7:4]+1;

if (qn[7:4]==9) clkn2=0;

else clkn2=1;end

end

always @ (posedge clkn2 or negedge clrn)

begin

if (~clrn) qn[11:8]=0;

else begin if (qn[11:8]==9) qn[11:8]=0; else qn[11:8]= qn[11:8]+1;

if (qn[7:4]==9) clkn3=0;

else clkn3=1;end

end

always @ (posedge clkn3 or negedge clrn)

begin

if (~clrn) qn[15:12]=2;

else if (qn[15:12]==9) qn[15:12]=0;

else qn[15:12]= qn[15:12]+1;

end

Endmodule

2.5 校时选择模块

module xs_6(k,jm,jf,js,jr,jy,jn,j1,j2,j3); //k是控制输入端

input k,j1,j2,j3;

output reg jm,jf,js,jr,jy,jn;

always

Begin

if (k==0) {jm,jf,js}={j1,j2,j3};//k=0是,控制将校时按钮j1、j2和j3的信号分别分别送到计时器模块的jm、jf

else {jr,jy,jn}={j1,j2,j3};//k=1时校时按钮j1、j2、j3的信号分别送到年月日模块的jr、jy、jn

end

Endmodule

2.6显示选择模块的设计

module mux_16(k,qm,qf,qs,qr,qy,qn,q);

input k; //k是控制输入端,k=1时将年月日送来的qr、qy、qn状态信号送到数码管显示

input[7:0] qm,qf,qs,qr,qy;

input[15:0] qn;

output reg [31:0] q;

always

begin

if(k==0) begin

q[31:24]=0;

q[23:0]={qs,qf,qm};end

else q={qn,qy,qr};

end

endmodule

2.7控制模块源程序

module contr(clk,k1,k2,k); //clk是1s时钟输入端;k1和k2是控制输入端

input clk,k1,k2;

output reg k; //k是控制输出端

reg[3:0] qc;

reg rc;

always @(posedge clk)

begin

qc=qc+1;

if (qc<8)rc=0;

else rc=1;

case({k1,k2})

0:k=rc; //k1k2=00或11时是自动显示模块,控制数码器用8s钟时间显示年月日8s显示时分秒

1:k=0; //k1k2=01时仅控制显示时分秒,同时用j1、j2、j3校秒、校分和校时

2:k=1; //k1k2=10时,仅显示年、月、日,同时用j1、j2、j3校年、校月和校日

3:k=rc; //11

endcase end

endmodule

//在控制模块中使用了一个16分频电路,输出rc时16s的方波,即8s为高电平、8s为低电平用于万年历的自动倒换模式。

2.8 生日彩灯模块

module czbf (clk,k,qy,qr,q,qo);

input clk,k;

input [7:0] qy,qr;

output reg [11:0] q, qo;

reg s,qs,clkn;

reg[24:0] qq;

reg[6:0] qss;

initial q=5;

always @( posedge clk)

begin if (qq==2000000-1) qq=0; else qq=qq+1;

if (qq==2000000-1) clkn=1; else clkn=0;

end

always @(posedge clkn)

begin

if (s) begin qs=q[11]; q=q<<1;q[0]=qs;end

else begin qs=q[0]; q=q>>1;q[11]=qs;end

end

always @(posedge clkn)

begin

qss=qss+1;

if (qss<63) s=0; else s=1;

end

always

begin if (qy=='h05&qr=='h13&k) qo=q;

else qo=0;

end

endmodule

//当数码管上的月日与某生日相同时,流水灯相继左右旋转。此时可通用拨动开关K控制流水灯的亮灭。

新整理应用电子技术实习周记

应用电子技术实习周记 第一篇:应用电子技术实习周记 第一二周周记(11.1211.25) 进入xxx有限公司实习已经将近两个多星期了,也逐渐的适应了这里的工作环境。这是一间经营销售复印机,传真机,一体机,以及复印机出租业务的公司。xxx公司是松下传真机的广州销售总代理及维修站,还代理惠普,夏普,利盟,震旦等的区域销售。 现在应经对复印机有了初步的了解。记得第一天刚到公司,连复印机的基本用法都不知道,更不用说复印机基本的构造了。中午师傅教我们复印机原理,认真听过之后,我这只复印机菜鸟终于开了点窍。原来复印成像主要有八步:充电过程,曝光过程,显影过程,转印过程,分离过程,定影过程,清洁过程,消电过程。 第一次出去工作是和师傅一起出去的,拆硒鼓,拆刮板,拆铁粉,然后是换,最后装机,一切都那么自然而然的搞掂。过几天后,自己要亲自动手了,才发现自己笨手笨脚的,扭个螺丝的慢个大半拍,原来自己的动手能力还不够。技术是练出来的,这话真的不假。 除了上述的这些,我还学习到了复印机型号的区分,松下dp8035,dp8060是这两周我工作中经常遇见的型号,35和60分别代表一分钟可以复印的张数,这两款都算是复印机当中的快速

机型了。 这也是我第一次这么专注于一门技术学习,经过两个多星期的实习,让我感觉到了知识的重要性,我要不断的学习,来提高自己的专业水平,还有要多点动手来提高自己的动手能力。 第三四周周记(11.2612.9) 在公司工作已经快一个月了,这两个星期和上两个星期有了些变化,就是单独出去工作的次数多了。也许是之前跟着师傅有了依赖性,一听到单独工作的任务时心就会紧张的不得了。看到没见过的机型更是头脑一片空白,我终于感觉到独立解决问题这种能力的重要了。经验的积累过程总要经历些意外。那一次,工作任务是加打印机碳粉,本来以为是个简单的任务。去到客户那里看到打印机,心里就一沉,压根没见过的打印机然后客户反映问题后心里再次一沉,故障好像与加碳粉没关系的那时候真实压力山大了,打电话询问师傅,也说不清楚,只能自己看看了。正常的拆硒鼓,看半天没看出什么来,手忙脚乱的又装回去,最后发现弹簧不见了,原来卡在硒鼓里面了,结果硒鼓损坏了一点,好在客户不介意。 这次事件以后,工作起来我也更加谨慎细心了。单独工作时,我也开始尝试仔细观察,独立的去找出问题所在,独自去解决,而不是一有问题就打电话找人帮助了。突发事件见多了以后,我也发现自已没之前那么的紧张,而是多了几分镇定,问题总是要解决的,逃避不能解决问题。

西安电子科技大学EDA实验报告

EDA大作业及实验报告

实验一:QUARTUS Ⅱ软件使用及组合电路设计仿真 实验目的: 学习QUARTUS Ⅱ软件的使用,掌握软件工程的建立,VHDL源文件的设计和波形仿真等基本内容; 实验内容: 1.四选一多路选择器的设计 首先利用QuartusⅡ完成4选1多路选择器的文本编辑输入(mux41a.vhd)和仿真测试等步骤,给出仿真波形。 步骤: (1)建立工作库文件夹和编辑设计文件; (2)创建工程; (3)编译前设置; (4)全程编译; (5)时序仿真; (6)应用RTL电路图观测器(可选择) 实验程序如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux41 IS PORT( S10:IN STD_LOGIC_VECTOR(1 DOWNTO 0); A,B,C,D:IN STD_LOGIC; Q:OUT STD_LOGIC ); END ENTITY mux41; ARCHITECTURE bhv OF mux41 IS BEGIN PROCESS(A,B,C,D,S10) BEGIN IF S10="00" THEN Q<=A; ELSIF S10="01" THEN Q<=B; ELSIF S10="10" THEN Q<=C; ELSE Q<=D; END IF; END PROCESS; END bhv; 波形仿真如图:

其中,分别设置A,B,C,D四个输入都为10.0ns的方波,其占空比分别为25%,50%,75%,90%以作为四种输入的区分,使能端s10以此输入00(即[0]),01(即[1]),10(即[2]),11(即[3]),可以观察到输出端Q依次输出分别为A,B,C,D。试验成功。 其RTL电路图为: 2.七段译码器程序设计仿真 2.1 原理:7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如实验图1数码管的7个段,高位在左,低位在右。例如当LED7S输出为"0010010" 时,数码管的7个段:g、f、e、d、c、b、a分别接0、0、1、0、0、1、0,实验中的数码管为共阳极的,接有低电平的段发亮,于是数码管显示“5”。 实验图1 数码管及其电路 2.2 实验内容:参考后面的七段译码器程序,在QUARTUS II上对以下程序进行编辑、编译、综

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

应用电子技术实习报告

应用电子技术实习报告 应用电子技术是一门学科,培养具备智能电子产品设计、质量检测、生产管理等方面的基本理论知识和基本技能,小编收集了应用电子技术实习报告,欢迎阅读。 应用电子技术实习报告【一】一、生产实习的目的 生产实习是电子信息工程专业以及其他任何专业十分重要的实践性教学环节,是培养学生实际动手能力和分析问题解决问题能力、理论与实践相结合的基本训练,同时也是学生毕业设计选题及设计工作原始资料的来源,为学生进行毕业设计打下扎实基础。认真抓好生产实习的教学工作,提高生产实习教学质量,是提高学生业务素质和思想素质的重要环节。 1、训练学生从事专业技术工作及管理工作所必须的各种基本技能和实践动手能力。 2、让学生了解本专业业务范围内的现代工业生产组织形式、管理方式、工艺过程及工艺技术方法。 3、培养学生理论联系实际、从实际出发分析问题、研究问题和解决问题的能力,将学生所学知识系统化。 4、培养学生热爱劳动、不怕苦、不怕累的工作作风。 二、生产实习的要求 1.了解计算机通讯网络及企业内联网的构成和配置,掌握所接触信息系统的工作原理,结构,安装,及故障识别

方法,熟悉基本网络测试工具的使用方法和系统规划软件和网络数据库的使用方法。 2.了解服务器、交换机、hub、网卡、光纤和粗细缆的基本功能,了解它们选型的一般原则及对应的网管软件使用等。 3.了解所在企业信息流的组成,即供应链、产品链,资金链、信息链的性质和用途,初步形成企业信息系统和计算机通讯网络的整体概念。 4.通过讲座、参观,了解通讯及网络技术、企业信息管理系统、电子商务的发展情况、使用情况、新成果新技术的应用情况。 三、生产实习企业情况介绍、生产实习的内容 电子信息工程专业的生产实习主要以观摩和实际操作实习为主。为了使学生在生产实习中有的放矢,所以在实习前各教学班要根据生产实习大纲制订出具体的实习计划,保证以下内容的实施。 1、参观邯钢的变电站区,热轧厂区和主控室,了解企业的信息控制链并初步了解erp企业资源计划。 整个过程主要是厂区工作人员现在讲解为主,介绍企业资源管理规划在企业资源管理中的地位与作用;介绍erp技术发展概况、企业规划;介绍本地各种erp网的组成和互联;介绍企业各项规章制度、技术条例、保密制度等。

数字电子技术实验心得

数字电子技术实验心得 这学期学了数字电子技术实验,让我了解到了更多知识,加深了对数字电子技术的理解。这是一门理论与实践密切相关的学科,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 我也学习到一些经验: 1、如果发现了实验中问题所在,此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 2、在实验过程中,我们也要学会分工协作,不能一味的我行我素或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 在实验的过程中我们要培养自己的独立分析问题,和解决问题的能力。培养这种能力的前题是你对每次实验的态度。数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。我们认为,在这学期的实

应用电子实习报告(完整版)

报告编号:YT-FS-5646-23 应用电子实习报告(完整 版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

应用电子实习报告(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 实习起止日期: xx年3月2日-xx年5月31日实习单位及部门(岗位):xx电器客户服务中心主要实习内容 电器维修对基础知识和专业理论知识的掌握及运用情况: 通过两年半的学习和两个月的紧张培训,让我学会了电路图的分析和工作原理,当看到一份电路图是能自己分析出各个不分电路和工作原理及在电路中的作用,学会了家电的维修思路和故障的排除方法,学会了基本电子元器件的焊接和各种机器拆装方法和技巧。能自己独立的维修机器。课程设计的背景:根据以前的经验,生产实习是应用电子技术专业以及其他任何专业十分重要的实践性教学环节,是培养学生实

际动手能力和分析问题解决问题能力、理论与实践相结合的基本训练,认真抓好生产实习的教学工作,提高生产实习教学质量,是提高学生业务素质和思想素质的重要环节。现在的大学毕业生非常缺乏实践的动手能力,为了现在的毕业生能更好的接轨,能更快的适应的公司的要求,能更好的适应工作环境,胜任自己的岗位,我校决定行一次有效的毕业生实习。课程设计的目标:掌握家用电器的各个部分电路的分析和工作原理,学会家用电器故障的排除方法和维修的思路。课程设计的内容:在毕业之前于xx年在海信电子有限公司实习过一个月,通过实习对电视机的生产过程和原理有了一定的了解,以及未来趋向等方面有了更加具体的认识。通过短短的两个月的培训,像一个小小的切口,通过它,我看到了什么是优秀,什么是爱岗敬业,什么是xx客服的好员工。两个月里,从原理到实践,那么多优秀的维修工程师熟练地讲着自己工作中的经验。谈到公司,谈到工作,他(她)们眼睛里闪烁着自信的光芒;谈起未来,谈起我们,他(她)们言语里

模拟电子线路实验实验报告

模拟电子线路实验实验 报告 Document number:NOCG-YUNOO-BUYTT-UU986-1986UT

网络高等教育 《模拟电子线路》实验报告 学习中心:浙江建设职业技术学院奥鹏学习中心层次:高中起点专科 专业:电力系统自动化技术 年级: 12 年秋季 学号: 学生姓名:

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方 法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz连续可调; ③幅值调节范围:0~10V P-P连续可调; ④波形衰减:20dB、40dB; ⑤带有6位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 3.试述使用万用表时应注意的问题。

使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。 如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。 4.试述TDS1002型示波器进行自动测量的方法。 按下“测量”按钮可以进行自动测量。共有十一种测量类型。一次最多可显示五种。 按下顶部的选项按钮可以显示“测量1”菜单。可以在“信源”中选择在其上进行测量的通道。可以在“类型”中选择测量类型。 测量类型有:频率、周期、平均值、峰-峰值、均方根值、最小值、最大值、上升时间、下降时间、正频宽、负频宽。 三、预习题 1.正弦交流信号的峰-峰值=_2__×峰值,峰值=__根号2__×有效值。 2.交流信号的周期和频率是什么关系 两者是倒数关系。 周期大也就是频率小,频率大也就是周期长

EDA实验报告

EDA 实验报告 实验一:组合电路的设计 实验内容是对2选1多路选择器VHDL 设计,它的程序如下: ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGIN d <= a AND (NOT S) ; e <= b AND s ; y <= d OR e ; END ARCHITECTURE one ; Mux21a 仿真波形图 以上便是2选1多路选择器的VHDL 完整描述,即可以看成一个元件mux21a 。mux21a 实体是描述对应的逻辑图或者器件图,图中a 和b 分别是两个数据输入端的端口名,s 为通道选择控制信号输入端的端口名,y 为输出端的端口名。Mux21a 结构体可以看成是元件的内部电路图。最后是对仿真得出的mux21a 仿真波形图。 Mux21a 实体 Mux21a 结构体

实验二:时序电路的设计 实验内容D 触发器的VHDL 语言描述,它的程序如下: LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF1 IS BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q <= D ; END IF; END PROCESS ; END bhv; D 触发器的仿真波形图 最简单并最具代表性的时序电路是D 触发器,它是现代可编程ASIC 设计中最基本的时序元件和底层元件。D 触发器的描述包含了VHDL 对时序电路的最基本和典型的表达方式,同时也包含了VHDL 中许多最具特色的语言现象。D 触发器元件如上图所示,其在max+plus2的仿真得出上面的波形 D 触发器

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

电子技术实训报告模板精选5篇(完整版)

报告编号:YT-FS-6123-41 电子技术实训报告模板精选5篇(完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

电子技术实训报告模板精选5篇(完 整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 电子实训报告范文 一、目的意义 熟悉手工焊锡的常用工具的使用及其与修理。手工电烙铁的焊接技术,能够独立的简单电子产品的安装与焊接。熟悉电子产品的安装工艺的生产流程,印制电路板设计的和方法,手工制作印制电板的工艺流程,能够电路原理图,元器件实物。常用电子器件的类别、型号、规格、性能及其使用范围,能查阅的电子器件图书。 能够识别和选用常用的电子器件,并且能够熟练使用普通万用表和数字万用表。电子产品的焊接、调试与维修方法。收音机的通电监测调试,电子产品的

生产调试过程,学习调试电子产品的方法,培养检测能力及一丝不苟的科学作风。 二、原理 天线收到电磁波信号,调谐器选频后,选出要接收的电台信号。,在收音机中,有本地振荡器,产生跟接收频率差不多的本振信号,它跟接收信号混频,产生差频,差频中频信号。中频信号再中频选频放大,然后再检波,就了原来的音频信号。音频信号功率放大之后,就可送至扬声器发声了。 天线接收到的高频信号输入电路与收音机的本机振荡频率(其频率较外来高频信号高固定中频,我国中频标准规定为465khz)一起送入变频管内混合一一变频,在变频级的负载回路(选频)产生新频率即差频产生的中频,中频只了载波的频率,原来的音频包络线并,中频信号可以地放大,中频信号经检波并滤除高频信号。再经低放,功率放大后,扬声器发出声音。 三、安装调试 1。检测

大工15秋《模拟电子线路实验》实验报告参考答案

大工15秋《模拟电子线路实验》实验报告参考答案 实验一常用电子仪器的使用 一、实验目的 1、了解并掌握模拟电子技术实验箱的主要功能及使用方法。 3、学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:模拟电子技术试验箱布线区:用来插接元件和导线,搭建实验电路。配有2只8脚集成电路插座和1只14脚集成电路插座。结构及导电机制:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:NEEL-03A型信号源的主要技术特性: ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号;②输出频率:10Hz~1MHz连续可调;

③幅值调节范围:0~10VP-P连续可调; ④波形衰减:20dB、40dB; ⑤带有6位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 3.试述使用万用表时应注意的问题。 答:应注意使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。2、了解并掌握数字万用表的主要功能及使用方法。 三、预习题 1.正弦交流信号的峰-峰值×峰值,峰值×有效值。 2.交流信号的周期和频率是什么关系?答:互为倒数,f=1/T,T=1/f

基于VHDL语言的EDA实验报告(附源码)

EDA 实验报告 ——多功能电子钟 姓名:张红义 班级:10级电科五班 学号:1008101143 指导老师:贾树恒

电子钟包括:主控模块,计时模块,闹钟模块,辅控模块,显示模块,蜂鸣器模块,分频器模块。 1.主控模块: 主要功能:控制整个系统,输出现在的状态,以及按键信息。 源代码: libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_unsigned.all; entity mc is port(functionswitch,k,set,lightkey: in std_logic; chose21,setout: out std_logic; lightswitch:bufferstd_logic; modeout,kmodeout : out std_logic_vector(1 downto 0); setcs,setcm,setch,setas,setam,setah:outstd_logic); end mc; architecture work of mc is signalmode,kmode:std_logic_vector(1 downto 0); signal light,chose21buf:std_logic; signalsetcount:std_logic_vector(5 downto 0); begin process(functionswitch,k,set,lightkey) begin iffunctionswitch'event and functionswitch='1' then mode<=mode+'1'; end if; iflightkey'event and lightkey='1' then lightswitch<=not lightswitch; end if; if mode="01" thenchose21buf<='0'; else chose21buf<='1'; end if; ifk'event and k='1' then if mode="01" or mode="11" then kmode<=kmode+'1'; end if;end if; if set='1' then if mode = "01" then ifkmode="01" then setcount<="000001"; elsifkmode="10" thensetcount<="000010"; elsifkmode="11" then setcount<="000100";

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

应用电子技术总结

应用电子技术总结 本页是最新发布的《应用电子技术总结》的详细范文参考文章,感觉很有用处,。 《浙江大学优秀实习总结汇编》 应用电子技术岗位实习期总结 转眼之间,两个月的实习期即将结束,回顾这两个月的实习工作,感触很深,收获颇丰。这两个月,在领导和同事们的悉心关怀和指导下,通过我自身的不懈努力,我学到了人生难得的工作经验和社会见识。我将从以下几个方面总结应用电子技术岗位工作实习这段时间体会和心得: 一、努力,理论结合实践,不断提高自身工作能力。 在应用电子技术岗位工作的实习过程中,我始终把学习作为获得新知识、掌握方法、提高能力、解决问题的一条重要途径和方法,切实做到用理论武装头脑、指导实践、推动工作。思想上积极进取,积极的把自己现有的知识用于社会实践中,在实践中也才能检验知识的有用性。在这两个月的实习工作中给我最大的感触就是:在学校学到了很多的理论知识,但很少用于社会实践中,这样理论

和实践就大大的脱节了,以至于在以后的学习和生活中找不到方向,无法学以致用。同时,在工作中不断的学习也是弥补自己的不足的有效方式。信息时代,瞬息万变,社会在变化,人也在变化,所以你一天不学习,你就会落伍。通过这两个月的实习,并结合应用电子技术岗位工作的实际情况,认真学习的应用电子技术岗位工作各项政策制度、管理制度和工作条例,使工作中的困难有了最有力地解决武器。通过这些工作条例的学习使我进一步加深了对各项工作的理解,可以求真务实的开展各项工作。 二、围绕工作,突出重点,尽心尽力履行职责。 在应用电子技术岗位工作中我都本着认真负责的态度去对待每项工作。虽然开始由于经验不足和认识不够,觉得在应用电子技术岗位工作中找不到事情做,不能得到锻炼的目的,但我迅速从自身出发寻找原因,和同事交流,认识到自己的不足,以至于迅速的转变自己的角色和工作定位。为使自己尽快熟悉工作,进入角色,我一方面抓紧时间查看相关资料,熟悉自己的工作职责,另一方面我虚心向领导、同事请教使自己对应用电子技术岗位工作的情况有了一个比较系统、全面的认知和了解。根据应用电子技术岗位工作的实际情况,结合自身的优势,

EDA交通灯实验报告

实验:交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图 设计要求: (1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明

(1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。 因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。 三、设计方案

数字电路实验报告——译码器

第五次试验报告 实验五 译码器 一、实验目的要求 1、熟悉中规模集成电路T4138译码器的工作原理与逻辑功能 2、掌握译码器的应用 二、实验仪器、设备 直流稳压电源、电子电路调试器、万用表、两个T4138、74LS20 三、实验线路、原理框图 1、T4138的逻辑符号 T4138是一个3线—8线译码器,它是一种通用译码器,其逻辑符号如图1所示。 图1 其中,A 2、A 1、A 0是地址输入端,Y 0、Y 1、Y 2、Y 3、Y 4、Y 5、Y 6、Y 7是译码输出端,S 1、 S 2、S 3是使能端,当S 1=1, S 2+S 3=0时,器件使能。 2、T4138的管脚排列 T4138的管脚排列如图2所示: 图2 3、T4138的逻辑功能 T4138的功能表如下表所示: Y Y Y Y Y Y Y 32 (a )原SJ 符号 (b )GB 符号

3线—8线译码器实际上是一个负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器。 4、用T4138实现一个逻辑函数 译码器的每一路输出,实际上是地址码的一个最小项的反变量,利用其中一部分输出端输出的与非关系,也就是它们相应最小项的或逻辑表达式,能方便地实现逻辑函数。 本试验要求实现以下逻辑函数: Y=AB C +A B C+A BC+ABC=ABC BC A C B A C AB ???=7356Y Y Y Y 用T4138和74LS20实现以上逻辑函数,实验线路见下图(图3): 图3 5,用两个3线—8线译码器组成一个4线—16线的译码器 4线—16线的真值表为: “0Y

应用电子技术实习报告

太原工业学院电子工程系 毕业实习报告 姓名:曹雪 专业:应用电子技术 班级学号: 097022104 指导教师:郭彩萍 实习地点:英语周报社有限公司 太原工业学院 Taiyuan Institute of Technology

太原工业学院毕业实习报告 太原工业学院学生实习鉴定表 系部:电子工程系专业:应用电子技术班级学号:097022104 2

太原工业学院毕业实习报告 目录 1 前言 (3) 1.1实习单位简介 (4) 1.1.1实习目的 (4) 1.1.2实习岗位基本情况 (5) 2毕业实习内容 (6) 2.1实习过程 (6) 2.1.1例行早会 (6) 2.1.2出勤 (6) 2.1.3工具领取和交回 (6) 2.2具体内容 (6) 2.2.1对公司电器种类记录 (6) 2.2.2安全检查 (6) 2.2.3维修替换 (6) 2.2.4报废物品整理 (7) 2.2.5了解网络建设 (7) 2.2.6参观网络布线 (7) 3 搜集的技术资料内容 (8) 4 实习中遇到的问题 (9) 5 实习收获 (10) 5.1专业素质提高 (10) 5.2知识的学习与扩展 (10) 5.3能力的提高与技能训练效果 (10) 6 实习建议····································································错误!未定义书签。 7 实习总结 (12) 8 致谢 (13) 3

太原工业学院毕业实习报告 1 前言 1.1实习单位简介 《英语周报》创刊于1983年4月,由山西省教育厅主管,山西师范大学主办,国内统一刊号:CN14-0705/(F),是一份面向全国大中小学师生的英语教学辅导类报纸。报社总部位于太原,另设有华北东北分社、华东分社、中原分社、西北分社、华中分社、华南分社、西南分社等七大分社,并在太原、郑州、武汉设有三个电子网络产品,印刷物流配送中心。2010年9月,报社完成转企改制,注册成立《英语周报》社有限公司。 《英语周报》是目前国内外语传媒中唯一被认定的“中国驰名商标”,报社以兴学育人为己任,服务教育为根本,全心全意为大中小学英语教学服务,坚持“依据课标、紧扣教材、同步辅导、服务中高考”的办报方针和“求高、求准、求实、求新”的办报理念,独家首创并提出了“把《英语周报》打造成充满现代气息的课时化、学案型同步辅导类报纸”的编辑目标,编辑出版了从小学到大学、适合不同年级段师生阅读的高品位、高质量、多层次的文化精品,读者遍布全国各地。 《英语周报》从2000年开始,连续12年被评为“山西省一级报纸”,并先后荣获“中国十大创新传媒”、“中国十大创新行业报”、“中国专业报十强”、“中国十大领军报业”、“中国十大周报品牌”、“中国行业媒体十强”、“山西十大文化品牌”、“新中国成立六十周年‘影响中国贡献品牌大奖、‘影响中国十大行业媒体’”等一系列荣誉称号,无不印证了其在行业中的翘楚地位。英语周报人始终秉承“踏踏实实做人、认认真真做事”的社(公司)训,在不断追求出版更多、更好的文化精品的同时,也将晋商的诚信精神传遍天下。 英语周报社有限公司将全面建立适应社会主义市场经济要求、符合现代出版规律的管理体制和运行机制,充分发挥新的体制优势,牢牢把握新的战略机遇,以改革为动力,以发展为主题,进一步解放生产力、发展生产力,将体制改革与内容创新、品牌建设、管理转型、产业升级紧密结合,不断提高企业的凝聚力、竞争力和影响力,力争社会效益和经济效益双丰收,为我国“十二五”期间教育事业和出版事业的大发展、大繁荣,再铸辉煌! 英语周报人将铭记中共中央政治局常委李长春同志莅临报社视察时所提出的期望,固守中国未成年人思想道德建设和基础英语教育事业的阵地,抓住发展机遇,坚持改革创新,为把报社建设成为全国领先的集教学、教研、培训和教辅报刊、图书、音像及电子产品出版为一体的按照现代企业制度建立的教育传媒产业集团而努力奋斗。 1.1.1实习目的 生产实习是教学计划规定的重要教学环节,是培养全面发展人才的重要措施,是属于实践类必修课程。通过实习期间的各种活动安排,达到如下目的: 1、理论联系实际,验证、巩固、深化已学的有关理论和专业知识,并为毕业设计积累感性知识。 2、获得应用电子技术和通信技术的实际知识。 3、运用已学理论和专业知识去分析、处理实际工程中若干生产技术问题的初步能力,培养独立学习、独立工作的能力。 4

模拟电子技术实验报告

姓名:赵晓磊学号:1120130376 班级:02311301 科目:模拟电子技术实验B 实验二:EDA实验 一、实验目的 1.了解EDA技术的发展、应用概述。 2. 掌握Multisim 1 3.0 软件的使用,完成对电路图的仿真测试。 二、实验电路

三、试验软件与环境 Multisim 13.0 Windows 7 (x64) 四、实验内容与步骤 1.实验内容 了解元件工具箱中常用的器件的调用、参数选择。 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能。 完成实验指导书中实验四两级放大电路实验(不带负反馈)。 2.实验步骤 测量两级放大电路静态工作点,要求调整后Uc1 = 10V。 测定空载和带载两种情况下的电压放大倍数,用示波器观察输入电压和输出电压的相位关系。 测输入电阻Ri,其中Rs = 2kΩ。 测输出电阻Ro。 测量两级放大电路的通频带。 五、实验结果 1. 两级放大电路静态工作点 断开us,Ui+端对地短路

2. 空载和带载两种情况下的电压放大倍数接入us,Rs = 0 带载: 负载: 经过比较,输入电压和输出电压同相。 3. 测输入电阻Ri Rs = 2kΩ,RL = ∞ Ui = 1.701mV

Ri = Ui/(Us-Ui)*Rs = 11.38kΩ 4. 测输出电阻Ro Rs = 0 RL = ∞,Uo’=979.3mV RL = 4.7kΩ,Uo = 716.7mV Ro = (Uo’/Uo - 1)*R = 1.72kΩ 5. 测量两级放大电路的通频带电路最大增益49.77dB 下限截止频率fL = 75.704Hz 上限截止频率fH = 54.483kHz 六、实验收获、体会与建议

相关文档
相关文档 最新文档