文档库 最新最全的文档下载
当前位置:文档库 › 数字电路 血型匹配

数字电路 血型匹配

数字电路 血型匹配
数字电路 血型匹配

组合逻辑电路大作业报告

学院:自动化学院

班级:自动化1702 班

姓名:马子茹 173407020203

一、题目要求

试用与非门或74LS151分别设计一个血型配对指示器,输血时供血者和受血者的血型配对情况为:(1)同一血型之间可以相互输血;(2)AB型受血者可以接受任何血型的输血;(3)O型输血者可以给任何血型的受血者输血。当受血者的血型和供血者的血型符合要求时绿灯亮,否则红灯亮。

二、逻辑分析

人的血型有A、B、AB、O四种。输血时输血者的血型与受血者的血型必须符合图示中用箭头指示的授受关系。

假设MN代表输血者的血型(00为A型血、01为B型血、10为AB型血、11为O型血),PQ代表受血者的血型(00为A型血、01为B型血、10为AB型血、11为O型血)。假设Y为输出,Y=1时表示血型匹配绿灯亮,Y=0时表示血型不匹配红灯亮。由此可以列出输血、受血血型是否匹配的真值表如下。

根据真值表可以得到逻辑函数表达式为:

Y=M'N'P'Q'+M'N'PQ'+ M'NP'Q + M'NPQ'+ MN'PQ'+ MNP'Q'+MNPQ'+MNP'Q+ MNPQ

三、用与非门设计血型配对指示器

1、设计过程

利用卡诺图将逻辑函数表达式进行化简:

化简结果为:Y=M'N'Q'+NP'Q+PQ'+MN跟据化简结果进行与非门逻辑电路设计

2、仿真过程

因为实验情况较多,我们选择了随机抽取的的四种仿真效果作为参考:两种可以输血(亮绿灯),两种不可输血(亮红灯)的情况。

(1)Y=1,亮绿灯,可以输血。

①当输入M=N=P=Q=0时,输出Y=1。既A血型可以给A血型输血,亮绿灯,演示结果如图:

②当输入M=0,N=1,P=1,Q=0时,输出Y=1。既B血型可以给AB血型输血,亮绿灯,演示结果如图:

(2)Y=0,亮红灯,不可以输血。

①当输入M=N=0,P=Q=1时,输出Y=0。既A血型不可以给O血型输血,亮红灯,演示结果如图:

②当输入M=0,N=P=Q=1时,输出Y=0。既B血型不可以给O血型输血,亮红灯,演示结果如图

四、用74LS151设计一个血型配对指示器

1.设计过程

74LS151为互补输出的8选1数据选择器,引脚排列如图所示,功能见表

首先当使能端G=1时,不论输入状态如何,均无输出(Y=0,W=1),只有使能端G为低电平时该数据选择器才能正常工作,故我们将G始终接入低电平来确保其正常工作。

根据8选1输出逻辑式(如下)来对血型匹配系统的逻辑函数式进行化简:

(8选1输出逻辑式)

Y=M'N'P'Q'+M'N'PQ'+ M'NP'Q + M'NPQ'+ MN'PQ'+ MNP'Q'+MNPQ'+MNP'Q+ MNPQ

(血型匹配系统的逻辑函数式)

我们将M'N'P'~MNP设为A2'A1'A0'~ A2A1A0即8选1输出逻辑式中最小项m0~m7。故输入M,N,P依次为选择控制端(地址端)为C~A(引脚号为9~11),而由式中Q,可得8个数据输入端D0~D7则为:D0=D1=D3=D5= Q'; D2= Q; D4=0; D6=D7=1。故易得74LS151实际接线图如下:

本次设计中采用单刀双掷开关来解决输入高低电平之间自由切换的功能。

2.仿真过程

(1)Y=0,W=1即无法完成输血过程时,LED管应亮起红灯。

①将A型血的人员输给B型血的人员(M=0,N=0,P=0,Q=1)时,仿真运行结果如下:

果如下:

(2)Y=1,W=0即可以完成输血过程时,LED管应亮起绿灯。

①将B型血的人员输给B型血的人员(M=0,N=1,P=0,Q=1)时,仿真运行结果如下:

果如下:

由上述仿真结果证明该设计能保证血型匹配过程正常且正确地运行。

五、结果分析与总结

(一)设计结构分析:

(二)实验结果分析

由仿真可以得出:A型血可为A型血、AB型血输血

B型血可为B型血、AB型血输血

AB型血可为AB型血输血

O型血可为A、B、AB型血输血

(三)实验心得与体会

通过组合逻辑实验电路,我们熟悉了一般组合逻辑集成电路的使用,并且学会了用组合逻辑实验电路来实现一些简单的问题。与此同时,体会到了合作的重要性,每个人的分工都影响着整个团队的合作,我们不论对待任何工作,都应认真负责。

电大作业

江苏开放大学实践性环节考核作业 学号 姓名杨杰 课程代码 110056 课程名称中国政治思想史 评阅教师 第次任务 共次任务 江苏开放大学 请同学们完成一篇读书报告。 首先请同学们学习第十二章第一节林则徐的有关内容,完成一篇关于林则徐学习西方思想的读书报告。 题目自拟。 字数不能少于800字。 格式要求: 题目:四号楷体。 正文:小四号宋体。 关于林则徐学习西方思想的读书报告 今天学习有关林则徐的章节,深为林则徐的精神所吸引。 1840年是中国近代史的开端,也就是从这个时候开始,伴随着西方帝国主义国家大举入侵中国,西方资产阶级的民主政治思想和文化开始大批传入中国。经过鸦片战争

的打击,大清帝国的进步官员和知识分子们,开始放眼看世界,林则徐等一批官员和知识分子开始关注西方资本主义的政治制度,军事技术等,并著作了一批介绍西方地理、政治军事制度的书籍《海国图志》《瀛环志略》等。这当中包括西方政治制度中的选举制,三权分立制等民主制度,这些都是近代中国民主思想发展的开端。 由于鸦片战争的惨痛教训,清政府开始寻求救亡图存的政策。而鸦片战争中西方帝国主义国家的坚船利炮让清政府触动最大,也就是在这背景下,清政府内的洋务派在全国各地掀起的“师夷之长技以制夷”的学习西方的改良运动。林则徐魏源等人进一步的对西方的民主制度进行了宣传并开始大量翻译外国书籍,包括政治,法律、科技、文史等各方面,开始广泛学习西方的活动。具体如下: 一、林则徐学习西方思想产生背景 二、当世界资本主义迅速发展的时候,中国还是一个封建社会,处在满族建立的清王朝的统治下。封建专制主义统治下的中国,在乾、嘉以后,衰败日剧,闭关更甚。 三、清政府的闭关锁国,阻碍了中国造船航海业的发展,阻碍了国内手工业的扩大和商品经济的发展,姐碍了资本主义萌芽的成长。而且闭关政策进一步助长了清朝统治集团置身于世界局势之外安于现状顽周保守的虚骄心理,使清代的政治更加腐败。 四、这个时期的林则徐,也和闭关时代其他开明进步分子一样,尽管对中外关系和外国情形有所触及,但严密的闭关政策和闭目塞听的风气,使他对外部世界的认识不可避免的也同样受到严重的局限。但林则徐的可贵之处,就在于他发现自己的知识不足后,没有装腔作势,反而正视现实。勇于放下架子,探求新知。他在中华民族和西方资本主义侵略势力的矛盾斗争的强烈刺激下,适应救亡图存的形式需要,由经世派而成为“开眼派”,并进而成为“学习派”,实现从开眼看现实到开眼看世界再到学习西方的连续飞跃。林则徐之所以和顽固分子不同,而且高于其他开明进步的爱国者,实现思想的连续飞跃,还有其主观因素: 五、第一,把民族自尊建立在比较科学的基础上。实事求是的作风和勇于批判现实的精神,使他有可能通过睁眼现实,比较正确的认清国情,看到“天朝上国”的腐朽与落后。 六、第二,把抵抗外国侵略建立在比较科学的基础之上。寻求“制夷之策”和富强之道的迫切愿望,使他有可能通过开眼看世界,对西方的侵略性与先进性有比较全面的了解,从而勇于抛弃夜郎自大的传统观念。 七、第三,具有敢于“触讳”、追求真理的勇气和精神。 八、二、林则徐学习西方思想的主要内容 九、林则徐认识到,要有效抵抗西方侵略,必须学习西方。发出了像西方学习的先声。在中国近代史上,第一次组织翻译班子,不拘一格,选拔熟悉外情的人才,有计划地,大规模地搜集和编译外国书报。 十、林则徐把西方各国作为一面镜子,已经参照出中国非惟在地理方位上不在世界的中心,特别是在国力方面也并不是万国来朝的中央大国。在中国近代,坦率而明确地承认西方先进和中国落后的是从林则徐开始,这是艰难而珍贵的第一步。一个国家和民族,能够认识自己不容易,反省自己的落后更不容易,特别是在中国对于自己有一种“世界中心”感觉的传统氛围中做到这一点,更是加倍地艰难。林则徐如实承认中国在船炮武器方面“不如夷”了,但却没有因此而悲观气馁、甘居下游。他认识到,当时的军事侵略不是来自比自己落后的民族,而是来自拥有船坚炮利的西方先进国家。甚至在赴戍伊犁的途中,他还念念不忘建立一支“器良、技熟、坦壮、心齐。”的新式水师。 十一、由于对世界各国的基本情况和国际关系有比较具体了解,他有效地利用了美、法两国与英国在对华贸易方面存在的矛盾,利用了英美两国尤其是英国内部均有人

组合逻辑电路——血型匹配电路

. . . 组合逻辑电路 ——血型匹配电路 一、题目: 人的血型由A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。) 图1 二、分析: 人的血型由A、B、AB、O四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A、01代表血型B、10代表血型AB、11代表血型O。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。 题目要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。这是必须有一个逻辑变量接到74LS151的数据输入端。 我们不妨把输血者血型用逻辑变量BA表示,受血者血型用逻辑变量DC表示,则由图一所指示的授受关系。得到能否匹配的卡诺图,其中匹配用1表示,不能用0表示。 做出逻辑变量ABCD的卡诺图如下图所示:

DC BA 00 01 11 10 00 01 11 10 由于用74LS151,需要把一个变量放到数据输入端,这里我们不妨把D 放到数据输入端我们得到卡诺图2 m 0 m 1 m 3 m 2 m 6 m 7 m 5 m 4 D ABC 000 001 011 010 110 111 101 100 0 1 D 0=1 D 1=D D 3=1 D 2=D D 6=0 D 7=1 D 5= D 4=0 由此我们可以的做出仿真电路: VCC 5V U1 74LS151N G 07MUX ~W 6 D04D13D22D31D415D514D613D7 12 A 11C 9 B 10Y 5~G 7 X1 5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V U2A 74S00D & 1 0 0 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 1 0 0

杭州电子科技大学数电大作业实验报告电子琴

数电大作业实验报告如图是CODE3的case语句程序,该模块是一个编码器,即将输入的8位琴键信号进行编码,输出一个4位码,最多能对应16个音符(若有16个键)。 如图所示是INX2CODE的case语句程序,该模块是一个译码器,它将来自键盘输入的编码信号译码成数控分频器SPK0输出信号的频率控制字。 另外两个模块是M_CODE和DCD7SG,它们的case语句程序如上图所示。前者的功能是将来自CODE3的键盘编码译成简谱码和对应的音调高低值H,后者是一个数码管7段显示译码器,负责将简谱码译成数码管的显示信号。 如图所示是SPK0模块的内部结构。其中的计数器CNT11B是一个LPM宏模块,这是一个11位二进制加法计数器。在设置其结构参数时,应该选择同步加载控制,即sload(Synchronous Load),这样能较好地避免来自进位信号cout中可能的毛刺影响。异步加载aload极易受到随机窄脉冲的误触发,在此类电路中不宜采用。图中D触发器和反相器的功能是将用于控制加载的进位信号延迟半个时钟周期,一来也是为了滤除可能的毛刺,以免对加载更为可靠,因为这时,时钟上升沿正好处于加载脉冲的中点。 模块CODE3,INX2CODE和SPK0的主要工作过程是这样的: 当按琴键后,产生的数据经编码器获得一个编码(例如,当按下第二个键,对应0010,即2),它对应模块INX2CODE中的一个值(2对应390H)。当这个值(如390H)被置入模块SPK0中的11位可预置计数器中后。由于计数器的进位端与预置数加载段端相连,导致此计数器将不断以此值作为计数起始值,直至全1。

以下以预置值为390H为例,来计算SPK0输出信号的频率值。 当以390H为计数器起始值后,此计数器成为一个模(7FFH-390H=46FH=1135)的计数器。即每从CLK端输入1135个脉冲,BEEP端输出一个进位脉冲。由于输入的时钟频率是1MHz (周期是1us),于是BEEP输出的信号频率是1/(1135us)=841Hz。 由下面电子琴的顶层电路可见,SPK0的输出信号经过一个由D触发器接成的T’触发器后才输出给蜂鸣器。这时信号被作了二分频,于是,预置值390H对应的与蜂鸣器发音的基频F 约等于440Hz。 B 电子琴顶层电路中T’触发器有两个功能,一个作用是作二分频器;另一个作用是作为占空比均衡电路。这是因为由SPK0模块输出信号的脉宽极窄,功率极低,无法驱动蜂鸣器,但信号通过T’脉宽就均匀了(F 的占空比为50%)。 B 如图所示是电子琴顶层设计电路,含2个输入口和3个输出口。 1.工作时钟CLK,频率:1MHz。用于在主控模块中产生与琴键对应的振荡频率,以驱动蜂 鸣器发出相应的声音。 2.琴键输入DIN[7..0].8个音符,8位中只能有一位为0,即8个琴键中每一时刻只能按 一个键。 3.输出端口SPK0用于驱动蜂鸣器。 4.输出信号LED接数码管,用于显示对应的简码谱。H显示音高低。

数字电路 血型匹配

组合逻辑电路大作业报告 学院:自动化学院 班级:自动化1702 班 姓名:马子茹 173407020203

一、题目要求 试用与非门或74LS151分别设计一个血型配对指示器,输血时供血者和受血者的血型配对情况为:(1)同一血型之间可以相互输血;(2)AB型受血者可以接受任何血型的输血;(3)O型输血者可以给任何血型的受血者输血。当受血者的血型和供血者的血型符合要求时绿灯亮,否则红灯亮。 二、逻辑分析 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者的血型必须符合图示中用箭头指示的授受关系。 假设MN代表输血者的血型(00为A型血、01为B型血、10为AB型血、11为O型血),PQ代表受血者的血型(00为A型血、01为B型血、10为AB型血、11为O型血)。假设Y为输出,Y=1时表示血型匹配绿灯亮,Y=0时表示血型不匹配红灯亮。由此可以列出输血、受血血型是否匹配的真值表如下。

根据真值表可以得到逻辑函数表达式为: Y=M'N'P'Q'+M'N'PQ'+ M'NP'Q + M'NPQ'+ MN'PQ'+ MNP'Q'+MNPQ'+MNP'Q+ MNPQ 三、用与非门设计血型配对指示器 1、设计过程 利用卡诺图将逻辑函数表达式进行化简: 化简结果为:Y=M'N'Q'+NP'Q+PQ'+MN跟据化简结果进行与非门逻辑电路设计

2、仿真过程 因为实验情况较多,我们选择了随机抽取的的四种仿真效果作为参考:两种可以输血(亮绿灯),两种不可输血(亮红灯)的情况。 (1)Y=1,亮绿灯,可以输血。 ①当输入M=N=P=Q=0时,输出Y=1。既A血型可以给A血型输血,亮绿灯,演示结果如图: ②当输入M=0,N=1,P=1,Q=0时,输出Y=1。既B血型可以给AB血型输血,亮绿灯,演示结果如图:

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

血型配对表

血型配对表 血型配对 由于人类红细胞所含凝集原不同,而将血液分成若干型,故称血型,以“ABO血型”和“Rh 血型”最为常见。血型配对,是指夫妻结合后根据双方血型能推算出后代血型的一种规律。 狭义地讲,血型专指红细胞抗原在个体间的差异;但现已知道除红细胞外,在白细胞、血小板乃至某些血浆蛋白,个体之间也存在着抗原差异。因此,广义的血型应包括血液各成分的抗原在个体间出现的差异。通常人们对血型的了解往往仅局限于ABO血型以及输血问题等方面,实际上,血型在人类学、遗传学、法医学、临床医学等学科都有广泛的实用价值,因此具有着重要的理论和实践意义。 输血血型配对 血型配对除了可以推测后代血型外,在临床上输血时也起着重要意义。由于不同血型的人具有不同抗原,若输血时接受了不适合自己血型的血液,则会起到严重的抗凝反应,导致生命危险。 血型主要是根据人体血液中含有的血型抗原来分类的,而每个人的血型又是从父母亲那里有规律地遗传得来的。以最普遍的ABO血型系统来说,如果一个人的血液里有A抗原,就是A型血;有B抗原的是B型血;同时含有A和B抗原的是AB型血;既不含A也不含B抗原的则是O型血。 血液中除含有上面提到的血型抗原,还有一种相对应的物质——血型抗体。A型血有抗B抗体,B型血有抗A抗体,当这种相互对抗的抗原抗体相遇时就会发生生物学所指的凝集反应,表现在临床上就是使我们的血液大量地溶解和破坏。 ABO系统中血液的抗原抗体: A :A抗原抗B抗体 B:B抗原抗A抗体 AB:A、B抗原无 O:无抗A、B抗体 输血的凝集反应是一种致命的症状,可以严重危及人的生命。所以应避免将含有对受血者血型抗原对抗抗体的血液输入给受血者。所以说,B型血不能输给A型血,A型不能输给B型。 AB型血因不含抗A和抗B抗体,理论上可以接受异型血输入;O型血与AB正相反,即含抗A又含抗B抗体,因此不能接受异型输血,但它不含A和B抗原,当需要的时候可以输给A、B或AB型血的人。这就是通常把O型血称作“万能输血者”的原因。

数字电路hc血型匹配检测器

福建农林大学金山学院 课程设计报告 课程名称:数字电子技术课程设计 课程设计题目:血型匹配检测器的设计 姓名: 专业:电气工程及其自动化 年级:2013级 学号: 指导教师:张振敏 职称:讲师 2015年7月3日 一、实验目的 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者的血型必须符合一定的授受关系。设计这个逻辑电路,判断输血者与受血者的血型是否符合上述规定。 二、软件介绍 仿真软件Multisim是一个专门用于电子电路仿真与设计的EDA工具软件。作为 Windows下运行的个人桌面电子设计工具, Multisim是一个完整的集成化设计环境。Multisim计算机仿真与虚拟仪器技术可以很好地解决理论教学与实际动手实验相脱节的这一问题。学员可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。Multisim软件绝对是电子学教学的首选软件工具。 特点:直观的图形界面;丰富的元器件;强大的仿真能力;丰富的测试仪器;完备的分析手段;独特的射频(RF)模块;强大的MCU模块;完善的后处理;详细的报告;兼容性好的信息转换。三、设计过程 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者的血型必须符合图示中用箭头指示的授受关系。 先用AB代表输血者的血型(00为A型血、01为B型血、10为AB型血、II为0型血),CD代

表受血者的血型(00为A 型血、01为B 型血、10为AB 型血、II 为0型血),Y 为输出(0为不匹配、1为匹配),那么可以列出输血、受血血型是否匹配。 血型匹配真值表: 根 据 真 值 表 可 以得 到 逻 辑 函 数 表 达 式 为 : Y=A'B'C'D'+A'BC'D+A'BCD'+A'BCD+AB'C'D'+AB'CD'+ABC'D'+ABCD'+ABCD 化简,得:Y=B'C'D'+A'BD+AD'+BC 数据选择器可以根据地址输入端的二进制信号,对输入端信号进行选择。八选一数据选择器74HC151是集成的有三个地址输入端A2、A1、A0,八个数据输入端D0~D7的中规模组合逻辑电路。 74HC151数据选择器的功能可以用逻辑函数表示为:∑==7 0i i i 012m ,,(D A A A Y ) 根据公式: Y=A'B'C'D'+A'BC'D+A'BCD'+A'BCD+AB'C'D'+AB'CD'+ABC'D'+ABCD'+ABCD 将A →A 2、B →A 1、C →A 0,因此逻辑式可以表示为: Y=m 0D' + m 1D' + m 2D + m 3D' + m 5D ’十m 6+m 7 故: D 0=D 1= D 3=D 5=D', D 2 = D, D 4=0,D 6=D 7=1

数电大作业——数字抢答器

图 1 数字抢答器框图 数字抢答器的设计 1 设计目的 (1)熟悉集成电路的引脚安排。 (2)掌握各芯片的逻辑功能及使用方法。 (3)了解面包板结构及其接线方法。 (4)了解数字抢答器的组成及工作原理。 (5)熟悉数字抢答器的设计与制作。 2 设计思路 (1)设计抢答器电路。 (2)设计可预置时间的定时电路。 (3)设计报警电路。 (4)设计时序控制电路。 3 设计过程 3.1方案论证 数字抢答器总体方框图如图1所示。 其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

3.2电路设计 抢答器电路如图2所示。 图2 数字抢答器电路 该电路完成两个功能: 一是分辨出选手按键的先后,并锁存优先抢答者的编 号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。 工作过程:开关S 置于“清除”端时,RS 触发器的R 端均为0,4个触发器输出置0,使74LS148的ST =0,使之处于工作状态。当开关S 置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S 5),74LS148的输出,010012=Y Y Y ,0=EX Y 经RS 锁存后,1Q=1,BI =1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q =1,使74LS148ST =1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的,1=EX Y 此时由于仍为1Q =1,使ST =1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置于“清除”然后再进行下一轮抢答。 (74 LS148为8线—3线优先编码器,表2.1为其真值表,图2.3为逻辑图。)

第六章-几种常用的组合逻辑电路试题及答案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有;b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n 个输入端最多可有个输出端。 4、(8-2易)74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。 12.(8-4中)发光二极管正向工作电压一般为__________。为了防止二极管过电流而损坏,使用时在每个二极管支路中应______________。 13.(8-3中)单片机系统中,片内存储容量不足需要外接存储器芯片时,可用_________作高位地址码。 14.(8-3中)数字系统中要求有一个输入端,多个数据输出端,可用_________输入端作为

组合逻辑电路——血型匹配电路

组合逻辑电路 ——血型匹配电路 一、 题目: 人的血型由A 、B 、AB 、O 四种。输血时输血者的血型和受血者血型必须符合图1中用箭头指示的授受关系。判断输血者和受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及和非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A 、01代表B 、10代表AB 、11代表O 。) A B AB O A B AB O 图1 二、 分析: 人的血型由A 、B 、AB 、O 四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A 、01代表血型B 、10代表血型AB 、11代表血型O 。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。 题目要求用八选一数据选择器(74LS151)及和非门(74LS00)实现。74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。这是必须有一个逻辑变量接到74LS151的数据输入端。 我们不妨把输血者血型用逻辑变量BA 表示,受血者血型用逻辑变量DC 表示,则由图一所指示的授受关系。得到能否匹配的卡诺图,其中匹配用1表示,不能用0表示。 做出逻辑变量ABCD 的卡诺图如下图所示: DC BA 00 01 11 10 00 01 11 10 由于用74LS151,需要把一个变量放到 数据输入端,这里我们不妨把D 放到数据输入端我们得到卡诺图2 m 0 m 1 m 3 m 2 1 0 0 1 0 1 0 1 1 1 1 1 0 0 0 1

m 6 m 7 m 5 m 4 D ABC 000 001 011 010 110 111 101 100 0 1 D 0=1 D 1=D D 3=1 D 2=D D 6=0 D 7=1 D 5= D 4=0 由此我们可以的做出仿真电路: VCC 5V U1 74LS151N G 07MUX ~W 6 D04D13D22D31D415D514D613D7 12 A 11C 9 B 10Y 5~G 7 X1 5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V U2A 74S00D & 三、 仿真验证: 当BA=00,DC=00时:可以看见指示灯亮,输出高电平,输出正确 VCC 5V U1 74LS151N G 07MUX ~W 6 D04D13D22D31D415D514D613D7 12 A 11C 9 B 10Y 5~G 7 X1 J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V U2A 74S00D & 1 0 1 0 0 1 1 0 1 1 1 1 0 1 0 0

数电大作业

子技术》大作业 电子技术基础是一门实践性很强的课程。数字电路大作业是在学完本门课程后,对所学知识的综合性考察。大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。 【理论设计要求】 1.每3人一组,完成其中一个题目。每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。 2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。 3.不能使用单片机实现。 4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。 【实物制作要求】 1.制作出一个实用的电子电路,具体不限,能成功演示其功能。 2.一人或者两人一题。 3.写出简单的设计说明,并于网上提交。 4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。 【报告内容】 1. 目录 2. 设计目的及要求

工作原理、系统方框图 4. 各部分选定方案及电路组成、相关器件说明 5. 调试过程(如果没做实物,可免) 6. 设计结论 7 设计心得与总结(要具体落实到小组各成员) 8. 参考文献 9. 附录 附录一:元器件清单 附录二:总体设计图 附录三:仿真结果(可无) 附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的) 【理论设计题目】 NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。 NO.2 循环彩灯电路

数电大作业流水灯

数电大作业流水灯-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

综合设计题 一.流水灯 1.总体思路 8位流水灯始终是一亮七暗的,根据这个特点可以考虑采用74LS138译码器的输出来实现流水灯的循环电路。同时,还可以用74LS161四位二进制计数器来控制74LS138的输入端,从而实现对灯亮灭的控制 2.使用元件 3—8译码器74LS138,四位二进制计数器74LS161,555定时器,七段数码管译码器驱动器4511芯片,数码管,电容,电阻,非门若干。 3.电路原理框图 4.元器件在本电路中的主要功能 ○1555定时器 555 定时器在本电路中的作用主要是产生占空比可调的矩形脉冲从而 可以改变灯亮时间,而且它的振荡周期为T=0.7 (+2)C。此处C=0.1uF.由电路参数可知,当 R1为10kΩ时,灯亮时间为0.0014s.它的功能主 要由两个比较器决定。两个比较器的输出电压控 制 RS 触发器和放电管的状态。在电源与地之间加 上电压,当 5 脚悬空时,则电压比较器 C1的同相 输入端的电压为 2VCC /3,C2的反相输入端的电压 为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2的输出为 0, 可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1的输出为 0,C2的输出为 1, 可将 RS 触发器置 0,使输出为 0 电平。电路图如下:

○2 74LS161计数器 74LS161计数器在本电路中的作用是产生000-111脉冲控制 74LS138的A 2A 1 A ,依次选通Y -Y 7 。产生脉冲序列也可以用74LS191是 四位二进制同步加/减计数器,与74LS161相比,它能够实现减计数,此处只需要求产生脉冲序列,而且74LS161是常用的计数器,所以选择74LS161产生脉冲序列。所以采用反馈置数法,产生000-111脉冲 序号,时钟脉冲外部接入,原理图如下图所示 ○374LS138译码器

哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器 数电大作业 1 计数器 一、实验目的 1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编 程和仿真; 2.掌握数字电路的设计方法,熟悉设计过程及其步骤; 3.培养学生的动手能力,能学以致用,为今后从事电子线路设计 打下良好基础; 4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理 来分析实验现象; 二、实验内容 1.设计内容及要求 1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器; 2)编写源程序;

3)给出仿真电路图和仿真波形图; 2.需求分析: 由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码: module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin 辻(!reset)out=9, hOOO; else if (load)out=data; else if (out>=212)out=9, hOOO; else out=out+1; end endmodule 程序说明: 该计数器为一个9位计数器,计数范围0~212,具有同步同 步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。 4. 画出仿真电路图: 图1为同步置数、同步清零加法计数器的仿真电路图 //elk 上升沿触发 〃同步清零,低电平有效 //同步预置 〃计数最大值为212,超过清零 〃计数

数电大作业智能数字钟

数电大作业智能数字钟 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

智能数字钟设计? 一、问题重述? 数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。 本课程设计要用通过简单的逻辑芯片实现数字电子钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)74LS00(与非门芯片)等连接成60和24进制的计数器,再通过七段数码管显示,构成了简单数字电子钟。要求: (1)完成设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有校时功能的电子钟; (2)完成对“时”、“分”的自动校时。 二、设计目的? 1.了解智能数字钟的工作原理; 2.设计出一个能实现清零、进位、显示时分秒等功能的智能数字钟; 3.正确使用multisim 软件对电路进行仿真及观察; 4.通过此次设计实验加深对3—8译码器、计数器等集成逻辑芯片的理解和运用。 三、设计要求? 1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频); 2.能显示时、分、秒,24小时制;3.设计晶体震荡电路来输入时钟脉冲; 4.用同步十进制集成计数器74LS160设计一个分秒钟计数器,即六十进制计数器;

5.用同步十进制集成计数器74LS160设计一个24小时计数器;6.译码显示电路显示时间; 7.用与非门芯片及一些基本芯片设计一个可以自动校时的电路。 四、设计过程? 总体思路 由秒及分的60进制,分别到59时进行对分和时进行进位,而时为24进制,当到达23时,之后进行清零,从而实现数字时钟的相应功能。分秒功能的实现:用两片74LS160组成60秒、分、时分别为60、60和24进制计数器。秒、分均为六十进制,即显示进制递增计数器。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。时功能的实现:用两片74LS160组成24进制递增计数器。结构框图及说明 在产生信号时可采用两种方法,方法(1)采用555定时器及分频器,而方法(2)直接利用函数信号发生器。 电路工作原理? 振荡器是数字钟的核心。振荡器的稳定度及频率的准确度决定了数字钟计时的准确程度,通常选用石英晶体构成的振荡器电路。一般来说,振荡器的频率越高,计时精度越高。如图5所示调节电阻R2可以改变输出信号频率,用以得到所需的信号频率。利用555定时器进行产生信号,形成晶振电路,如下 图二?555定时器 用三片74LS160可以构成三级十分频器,将1KHz矩形波分频得到1Hz基准秒计时信号。它的功能是产生标准秒脉冲信号。原理如下 图三?分频电路

数电大作业流水灯

数电大作业流水灯

综合设计题 一.流水灯 1.总体思路 8位流水灯始终是一亮七暗的,根据这个特点可以考虑采用74LS138译码器的输出来实现流水灯的循环电路。同时,还可以用74LS161四位二进制计数器来控制74LS138的输入端,从而实现对灯亮灭的控制 2.使用元件 3—8译码器74LS138,四位二进制计数器74LS161,555定时器,七段数码管译码器驱动器4511芯片,数码管,电容,电阻,非门若干。 3.电路原理框图 4.元器件在本电路中的主要功能 ○1555定时器 555 定时器在本电路中的作用主要是产生占空比可调的矩形脉冲从而 可以改变灯亮时间,而且它的振荡周期为T=0.7 (R1+2R2)C。此处C=0.1uF.由电路参数可知,当 R1为10kΩ时,灯亮时间为0.0014s.它的功能主 要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上 的同相 电压,当 5 脚悬空时,则电压比较器 C 1 的反相输入端的电 输入端的电压为 2VCC /3,C 2 压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如 2

果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C 1的输出为 0,C 2 的输出为 1,可将 RS 触发器置 0,使输出为 0 电平。 电路图如下: ○2 74LS161计数器 74LS161计数器在本电路中的作用是产生000-111脉冲控制 74LS138的A 2A 1 A ,依次选通Y -Y 7 。产生脉冲序列也可以用74LS191是 四位二进制同步加/减计数器,与74LS161相比,它能够实现减计数,此处只需要求产生脉冲序列,而且74LS161是常用的计数器,所以选择74LS161产生脉冲序列。所以采用反馈置数法,产生000-111脉冲序号,时钟脉冲外部接入,原理图如下图所示

第六章_几种常用的组合逻辑电路试题及答案

1.(8-5中)设一位二进制半加器的被加数为A,加数为B,本位之和为S, 向高位进位为C,试根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表: 2.(8-5难)设一位二进制全加器的被加数为A i,加数为B i,本位之和为 S i,向高位进位为C i,来自低位的进位为C i-1,根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表:

3.(8-1难)分析图示逻辑电路: 1).列真值表 2).写出逻辑表达式 3).说明其逻辑功能。 =++,根据给出的4.(8-3难*)用一个74LS138译码器实现逻辑函数Y ABC ABC ABC 部分逻辑图完成逻辑图的连接。

6.(8-1难)试用2输入与非门和反向器设计一个3输入(I0、I1、I2)、3输出(L0、L1、L2)的信号排队电路。它的功能是:当输入I0为1时,无论I1和I2为1还是0,输出L0为1,L1和L2为0;当I0为0且I1为1,无论I2为1还是0,输出L1为1,其余两个输出为0;当I2为1且I0和I1均为0时,输出L2为1,其余两个输出为0。如I0、I1、I2均为0,则L0、L1、L2也均为0。 1).列真值表 2).写出逻辑表达式 3).将表达式化成与非式 4).根据与非式画出逻辑图 7.(8-1难)某个车间有红、黄两个故障指示灯,用来表示3台设备的工作情况。如一台设备出现故障,则黄灯亮;如两台设备出现故障,则红灯亮;如三态设备同时出现故障,则红灯和黄灯都亮。试用与非门和异或门设计一个能实现此要求的逻辑电路。 1).列真值表

2).写出逻辑表达式 3).根据表达式特点将其化成与非式,或者是异或式 4).根据化成的表达式画出逻辑图 9.(8-3难)请用3-8线译码器译码器和少量门器件实现逻辑函数 ()()∑=7630,,,,,m A B C F 。

组合逻辑电路——血型匹配电路

. 组合逻辑电路 ——血型匹配电路 一、题目: 人的血型由A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表B、10代表AB、11代表O。) 图1 二、分析: 人的血型由A、B、AB、O四种刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A、01代表血型B、10代表血型AB、11代表血型O。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。 题目要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。74LS151只有8个数据输入端要来实现四个逻辑变量(16个数据最小项)的数据逻辑组合。这是必须有一个逻辑变量接到74LS151的数据输入端。 我们不妨把输血者血型用逻辑变量BA表示,受血者血型用逻辑变量DC表示,则由图一所指示的授受关系。得到能否匹配的卡诺图,其中匹配用1表示,

不能用0表示。 做出逻辑变量ABCD 的卡诺图如下图所示: DC BA 00 01 11 10 00 01 11 10

由于用74LS151,需要把一个变量放到数据输入端,这里我们不妨把D 放到数据输入端我们得到卡诺图2 m 0 m 1 m 3 m 2 m 6 m 7 m 5 m 4 D ABC 000 001 011 010 110 111 101 100 0 1 D 0=1 D 1=D D 3=1 D 2=D D 6=0 D 7=1 D 5= D 4=0 由此我们可以的做出仿真电路: VCC 5V U1 74LS151N G 07MUX ~W 6 D04D13D22D31D415D514D613D7 12 A 11C 9B 10Y 5~G 7 X1 5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V U2A 74S00D & 三、 仿真验证: 当BA=00,DC=00时:可以看见指示灯亮,输出高电平,输出正确 1 0 1 0 0 1 1 0 1 1 1 1 1

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础 设计题目:血型与状态机 院系: 班级: 设计者: 学号: 哈尔滨工业大学

血型逻辑电路设计 一实验目的 1.掌握采用可编程逻辑器件实现数字电路与系统的方法。 2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。 3.学会设计血型能否输血的数字电路。 4.掌握Verilog HDL描述数字逻辑电路与系统的方法。 二设计要求 1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。 2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图 1.电路模块图(简化) 应用: 2.内部电路组成(简化)

四编程 1.源程序 module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M;

input P; input Q; input clk; output E; output[3:0] F; output[3:0] G; output[7:0] OUT; output[3:0] CTL; reg E; reg[3:0] F; reg[3:0] G; reg[7:0] OUT; reg[7:0] OUT1; reg[7:0] OUT2; reg[7:0] OUT3; reg[7:0] OUT4; reg[3:0] CTL=4'b1110; output bi; reg bi; integer clk_cnt; reg clk_400Hz; always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000) begin clk_cnt <= 1'b0; clk_400Hz <= ~clk_400Hz; end else clk_cnt <= clk_cnt + 1'b1; //位控制 reg clk_1Hz; integer clk_1Hz_cnt; //1Hz发声信号 always @(posedge clk) if(clk_1Hz_cnt==32'd2*******-1) begin clk_1Hz_cnt <= 1'b0; clk_1Hz <= ~clk_1Hz; end else clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz) CTL <= {CTL[2:0],CTL[3]}; //段控制 always @(CTL) case(CTL)

相关文档