文档库 最新最全的文档下载
当前位置:文档库 › eda课程设计报告多功能数字钟设计大学论文

eda课程设计报告多功能数字钟设计大学论文

eda课程设计报告多功能数字钟设计大学论文
eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文)

题目:多功能数字钟设计

专业班级: 14微电子科学与工程

姓名:黄山

时间:2016年12月20日

指导教师:万美琳卢仕

完成日期:2015年12月20日

多功能数字钟设计任务书

1.设计目的与要求

了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解

2.设计内容

1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开;

2,能用按键调时调分;

3,能整点报时,到达整点时,蜂鸣器响一秒;

4,拓展功能:秒表,闹钟,闹钟可调

3.编写设计报告

写出设计的全过程,附上有关资料和图纸,有心得体会。

4.答辩

在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中)

(空一行)

1 引言 (1)

2 总体设计方案 (1)

2.1 设计思路 (1)

2.2总体设计框图 (2)

3设计原理分析 (3)

3.1分频器 (4)

3.2计时器和时间调节 (4)

3.3秒表模块 (5)

3.4状态机模块 (6)

3.5数码管显示模块 (7)

3.6顶层模块 (8)

3.7管脚绑定和顶层原理图 (9)

4 总结与体会 (11)

多功能电子表

摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能

关键词:Verilog语言,多功能数字钟,数码管显示;

1 引言

QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然

2 总体设计方案

2.1 设计思路

根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用

3 设计原理分析

3.1 分频器

分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块

module oclk(CLK,oclk,rst,clk_10,clk_100);

input CLK,rst;

output oclk,clk_10,clk_100;

reg [32:0]cnt,cnt1,cnt2;

reg oclk,clk_10,clk_100;

always@(posedge CLK or negedge rst) begin

if(!rst)

begin

cnt<=0;

oclk<=0;

end

else

if(cnt<10000-1)

cnt<=cnt+1;

else

begin

cnt<=0;

oclk=~oclk;

end

end

always@(posedge CLK or negedge rst) begin

if(!rst)

begin

cnt1<=0;

clk_10<=0;

end

else

if(cnt1<=10000000-1)

cnt1<=cnt1+1;

else

begin

cnt1<=0;

clk_10<=~clk_10;

end

end

always@(posedge CLK or negedge rst)

begin

if(!rst)

begin

cnt2<=0;

clk_100<=0;

end

else

if(cnt2<100000-1)

cnt2<=cnt2+1;

else

begin

cnt2<=0;

clk_100=~clk_100;

end

end

endmodule

3.2计时器和时间调节

计时模块:检测posedge clk_10 并进行计数,同时能调时调分,最后是整点报时部分

module cni(clk_10,rst,tiaoshi,tiaofen,ge,shi,bai,qian,wan,shiwan,bee);

input clk_10,rst,tiaoshi,tiaofen;

output reg[3:0]ge,shi,bai,qian,wan,shiwan,bee;

always@(posedge clk_10 or negedge rst)

begin

if(!rst)

begin

ge<=0;

shi<=0;

bai<=0;

qian<=0;

wan<=0;

shiwan<=0;

end

else

begin

if((!tiaofen)&&(bai<9))

bai<=bai+1;

else begin

if((!tiaofen)&&(qian<5))

begin bai<=0;qian<=qian+1;end

if((!tiaoshi)&&(wan<9))

wan<=wan+1;

else begin

if((!tiaoshi)&&(shiwan<2))

begin wan<=0;shiwan<=shiwan+1;end

else begin

if((shiwan==2)&&(wan==4))

begin ge<=0;shi<=0;bai<=0;qian<=0;wan<=0;shiwan<=0;end if(ge<9)

ge<=ge+1;

else

begin

ge<=0;

if(shi<5)

shi<=shi+1;

else

begin

shi<=0;

if(bai<9)

bai<=bai+1;

else

begin

bai<=0;

if(qian<5)

qian<=qian+1;

else

begin

qian<=0;

if(wan<3)

wan<=wan+1;

else

begin

wan<=0;

if(shiwan<2)

shiwan<=shiwan+1;

else

shiwan<=0;

end

end

end

end

end

end

end

end

end

end

always@(posedge clk_10)

begin

if((ge==0)&&(shi==0)&&(bai==0)&&(qian==0))

bee=0;

else

bee=1;

end

endmodule

3.3秒表模块

与计时部分类似,总体思想是调整进制和提高信号频率,如下module miaobiao(clk_100,tm,m6,m5,m4,m3,m2,m1);

input tm,clk_100;

output m6,m5,m4,m3,m2,m1; reg [3:0] m6,m5,m4,m3,m2,m1; always@(posedge clk_100 ) begin

if (tm)

begin

m6<=0;

m5<=0;

m4<=0;

m3<=0;

m2<=0;

m1<=0;

end

else

begin

if(m1<9)

m1<=m1+1;

else

begin

m1<=0;

if(m2<9)

m2<=m2+1;

else

begin

m2<=0;

if(m3<9)

m3<=m3+1;

else

begin

m3<=0;

if(m4<9)

m4<=m4+1;

else

begin

m4<=0;

if(m5<9)

m5<=m5+1;

else

begin

m5<=0;

if(m6<9)

m6<=m6+1;

else

m6<=0;

end

end

end

end

end

end

end

endmodule

3.4状态机模块

一般通过逻辑抽象,得出状态转换图,状态化简,状态分配,用三段式写法入下

module

state(clk1k,rst,tm,num,wela,ge,shi,bai,qian,wan,shiwan,m6,m5,m4,m3,m2,m1);

input clk1k,rst,tm,ge,shi,bai,qian,wan,shiwan,m6,m5,m4,m3,m2,m1;

output num,wela;

wire [3:0]ge,shi,bai,qian,wan,shiwan,m6,m5,m4,m3,m2,m1;

reg [3:0]num;

reg [5:0]wela;

reg [3:0]current_state,next_state;

parameter[3:0]D1=1;

parameter[3:0]D2=2;

parameter[3:0]D3=3;

parameter[3:0]D4=4;

parameter[3:0]D5=5;

parameter[3:0]D6=6;

parameter[3:0]D01=7;

parameter[3:0]D02=8;

parameter[3:0]D03=9;

parameter[3:0]D04=10;

parameter[3:0]D05=11;

parameter[3:0]D06=12;

always@(posedge clk1k or negedge rst) begin

if(!rst)

current_state<=D1;

else

current_state<=next_state;

end

always@(current_state )

begin

if(tm==0)

begin

case(current_state)

D1:

begin

num=m1;

wela=6'b111110;

if(clk1k)

next_state=D01;

else

next_state=D1;

end

D01:

begin

num=12;

wela=6'b1111101;

if(clk1k)

next_state=D2; else

next_state=D01;

end

D2:

begin

num=m2;

wela=6'b111101; if(clk1k)

next_state=D02; else

next_state=D2;

end

D02:

begin

num=12;

wela=6'b111011; if(clk1k)

next_state=D3; else

next_state=D02;

end

D3:

begin

num=m3;

wela=6'b111011; if(clk1k)

next_state=D03; else

next_state=D3;

end

D03:

begin

num=12;

wela=6'b110111; if(clk1k)

next_state=D4; else

next_state=D03;

end

D4:

begin

num=m4;

wela=6'b110111; if(clk1k)

next_state=D04; else

next_state=D4;

end

D04:

begin

num=12;

wela=6'b101111; if(clk1k)

next_state=D5; else

next_state=D04;

end

D5:

begin

num=m5;

wela=6'b101111; if(clk1k)

next_state=D6; else

next_state=D05;

end

D05:

begin

num=12;

wela=6'b011111;

if(clk1k)

next_state=D6;

else

next_state=D05;

end

D6:

begin

num=m6;

wela=6'b011111;

if(clk1k)

next_state=D06;

else

next_state=D6;

end

D06:

begin

num=12;

wela=6'b111110;

if(clk1k)

next_state=D1;

else

next_state=D06;

end

endcase

end

else

begin

case(current_state)

D1:begin num=ge;wela=6'b111110;

if(clk1k)

next_state=D01;

else

next_state=D1;

end

D01:begin num=15;wela=6'b111101;

if(clk1k)

next_state=D2;

else

next_state=D01;

end

D2:begin num=shi;wela=6'b111101;

if(clk1k)

next_state=D02;

else

next_state=D2;

end

D02:begin num=15;wela=6'b111011;

if(clk1k)

next_state=D3;

else

next_state=D02;

end

D3:begin num=bai;wela=6'b111011;

if(clk1k)

next_state=D03;

else

next_state=D3;

end

D03:begin num=15;wela=6'b110111;

if(clk1k)

next_state=D4;

else

next_state=D03;

end

(最新版)基于51单片机汇编语言的数字钟课程设计报告含有闹钟万毕业论文

单片微型计算机课程设计报告 多功能电子数字钟 姓 名 学

教师 许伟敏 电气二班 林卫

目录 一:概述 (1) 二:设计基本原理简介 (2) 三:设计要求及说明 (3) 四:整体设计方案 (4) 系统硬件电路设计 4 系统软件总流程设计模块划分及分析5 6 五:单模块流程设计 (8) 各模块设计概述、流程图模块源程序集合及注释8 13 六:单模块软件测试 (23) 七:系统检测调试 (24) 硬件电路调试 软件部分烧写调试 八:系统优化及拓展 (26) 九:心得体会 (28)

单片微型计算机课程设计 一、概述 基于汇编语言的电子数字钟概述 课程设计题目:电子数字钟 应用知识简介: ● 51 单片机 单片机又称单片微控制器,它不是完成某一个逻辑功能 的芯片,而是把一个计算机系统集成到一个芯片上。作为嵌 入式系统控制核心的单片机具有其体积小、功能全、性价比高等诸多优点。51 系列单片机是国内目前应用最广泛的单片机之一,随着嵌入式系统、片上系统等概念的提出和普遍接受及应用,51 系列单片机的发展又进入了一个新的阶段。在今后很长一段时间内51 系列单片机仍将占据嵌入式系统产品的中低端市场。 ●汇编语言 汇编语言是一种面向机器的计算机低级编程语言,通常是为特定的计算机或系列计算机专门设计的。汇编语言保持了机器语言的优点,具有直接和简捷的特点,其代码具有效率高实时性强等优点。但是对于复杂的运算或大型程序,用汇编语言编写将非常耗时。汇编语言可以与高级语言配合使用,应用十分广泛。 ● ISP ISP(In-System Programming)在系统可编程, 是当今流行的单片机编程模式,指电路板上的空白元器 件可以编程写入最终用户代码,而不需要从电路板上取 下元器件。已经编程的器件也可以用ISP方式擦除或再 编程。本次课程设计便使用ISP方式,直接将编写好的 程序下载到连接好的单片机中进行调试。 选题 系统功能分析 硬件电路设计 整体流程设计 及模块划分 模块流程设计 模块编 码测试 系统合成调 试编译 下载调试(含硬件电路调试及软件烧写调试) 验收 完成总结报告课程设计流程图↑ 选题目的及设计思想简介: 课程设计是一次难得的对所学的知识进行实践的机会,我希望通过课程设计独立设计一个简单的系统从而达到强化课本知识并灵活运用的目的。电子数字钟是日常生活钟随处可见的简单系统。对电子数字钟的设计比较容易联系实际并进行拓展,在设计中我将力求尽可能跳出课本的样板,从现实生活中寻找设计原型和设计思路,争取有所突破。 如图所示便是我本次课程设计流程图,设计的整个过程运用自顶向下分析、自底向上实现的

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

数字钟论文

基于单片机c语言的多功能数字钟的设计 系别:自动化系 专业名称:自动化 学生姓名:陈聪陈永宇蒋海勇 学号: 指导教师姓名、职称:李攀峰 完成日期2010 年06 月26 日

摘要 本设计论文主要介绍了用stc89c51单片机来控制数字钟的硬件结构和软件设计,给出了c语言的源程序。此数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,计时满刻度为23小时59分59秒,另外还有校时功能。电路由时钟脉冲发生器,时钟计时器,数码管驱动电路,以及蜂鸣器报时电路组成。数码管选用LED八段共阳数码管,在驱动电路下显示清晰,直观的数字符号。针对数字钟会产生走时误差的现象,在电路中有校准时间电路的功能的电路。 关键词:单片机;数字钟;计时;数码管

电子技术课程设计正文 一、电子设计题目: 数字钟设计 二、电子设计任务和基本要求: 1. 设计任务 基于单片机c语言设计一台可以显示时、分、秒的数字钟。 2. 基本要求 (1).能直接显示时、分、秒的数字钟,要求二十四为一计数周期。 (2).当电路发生走时误差时,要求电路具有校时功能。 (3).具有整点报时的功能,在离整定10s时,便自动发出鸣叫声,步长1s,每隔1s鸣叫一次。 (4).计时准确度,每天计时的误差不超过10s。 (5).要求电源电压+5伏 三、课程设计题目分析: 1. 设计要点 (1).设计一个单片机小系统电路 (2).设计数码管显示电路 (3).设计按键校时电路

(4).设计蜂鸣器整点报时电路 2. 工作原理: (1) 单片机小系统原理: 单片机最小系统由晶振电路,复位电路和电源基本组成。晶振电路在电路上它可以等效成一个电容和一个电阻并联再串联一个电容的二端网络。时钟电路用于产生单片机工作所需要的时钟信号,而时序的所研究的是指令执行中每个信号之间的相互的关系。单片机本身就是一个复杂的同步时序电路,为了保证同步工作方式的实现,电路应在唯一的时钟信号控制下严格的按照时序进行工作。复位电路的成功与否,关系到单片机系统能否正常的工作,电路上电时候或电压波动不稳定的时候,当给单片机上电那一瞬间,电压有在几微秒内(有的是几毫秒内)不是直接跳变到5V的而是一个直线上升的阶段,这时候,单片机不能正常工作,需要复位电路给它延时以等到电压稳定。 (2) 数码管显示原理: 一般数码管的驱动是用三极管驱动的原理为,用三极管控制共阳极数码管是利用三极管的开关特性,用pnp三极管的话,集电极接地,然后通过基极控制三极管的通断,当通过单片机给基极一个低电平时,发射极导通,集电极与发射

多功能电子时钟,毕业设计

多功能电子时钟 摘要 本文是基于AT89C52单片机数字钟的设计,通过多功能电子时钟的设计思路,详细叙述了系统硬件、软件的具体实现过程。 论文重点阐述了电子时钟硬件中MCU模块、时钟模块和键盘模块、显示模块等的模块化设计与制作;软件同样采用模块化设计思路,包括中断模块、闹钟模块、时间调整模块的设计,并采用C语言编写实现。本设计实现了时间与闹钟的修改功能,年、月、日和星期的显示功能。并且通过对比实际的时钟,查找出了误差的来源,确定了调整误差的方法,尽可能的减少误差,使得系统可以达到实际数字钟的允许误差范围内。本文还涉及到非接触止闹功能,在有效范围内使用者不需用手去寻找开关而关闭闹钟,该功能使本设计更具有人性化。该时钟还有重要日子倒计时功能,能够提前几天设定好时间,以避免遗忘重要日子。 关键词:AT89C52单片机,电子时钟,模块化设计,C语言

Multifunctional electronic clock ABSTRACT This article is based on AT89C52 microcontroller digital clock design, through multi-functional electronic clock design ideas, detailed description of the system hardware and software realization process. Paper focuses on the electronic clock hardware MCU module, clock module and keyboard module, display module, modular design and production; software as a modular design concept, including an interrupt module, alarm module, module design time to adjust and adopt the C language implementation. The Design and Implementation of the changes of time and alarm functions, year, month, day and week display. And by comparing the actual clock, find out the source of the error, the error method to determine the adjustment, as much as possible to reduce the error, allows the system to achieve the actual number of minutes of allowable error range. This also involves the function of non-contact only trouble in the effective range of users do not need a hand to find switch and turn off the alarm, this feature makes the design more user friendly. There are important days of the countdown clock function, set a good few days ahead of time, to avoid forgetting important occasions. KEY WORDS: AT89C52 microcontroller, electronic clock, modular design, C language

毕业设计论文-数字钟设计

数字钟设计 院系电子信息工程学院专业电子信息工程班级 1 姓名马梦珂

摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 数字钟就是由电子电路构成的计时器,是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应该该有校时功能和报时,整体清零等附加功能。主电路系统由秒信号发生器,时、分、秒计时器,译码器及显示器,校时电路,整体清零电路,整点报时电路组成。秒信号发生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。秒信号产生器将标准信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,发出一个“时脉冲”信号,该信号将被送到“时计数器”,“时计数器”采用24进制计时器,可实现一天24小时的累计, 本课题利用了单片机的数码管和定时器相关知识,采用AT89C51单片机子控制核心,结合LED数码管实现时分秒的显示。硬件电路设计主要包括中央处理单元电路,键盘扫描电路。软件程序则采用VC语言实现。本设计实现了显示时间、调整时间等功能,达到了设计的目的和要求。并在Proteus软件上进行了仿真和调试。 关键词:计时器,计数,译码,校时,数字时钟,单片机,仿真调试

目录 摘要 ............................................................................................................... I 目录 ............................................................................................................ III 1 引言 ............................................................................ 错误!未定义书签。 1.1 研究背景及意义 (1) 1.2 国内外研究现状 (1) 2 硬件设计 (1) 2.1 元件组成 (1) 2.2 电路组成...................................................................... 错误!未定义书签。 3 软件设计 (4) 3.1 电路原理图设计 (6) 3.2 源程序 (7) 4 系统调试与实验 (11) 5 总结 (12) 参考文献 (12)

EDA综合课程设计_数字时钟设计一、题_001

EDA综合课程设计-数字时钟设计 一、题目要求 1、功能 1)具有时、分、秒计数显示功能,以24小时循环计时。 2)时钟计数显示时有LED灯的花样显示。 3)具有调节小时、分钟、秒及清零的功能。 4)具有整点报时功能。 2、总体方框图 3、性能指标及功能设计 1)时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分——60进制计数,即从0到59循环计数,时钟——24进制计数,即从0到23循环计数,并且在数码管上显示数值。 2)时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的键7和键4进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。 3)清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间的复位。 4)蜂鸣器在整点时有报时信号产生,蜂鸣器报警。产生“滴答.滴答”的报警声音。 5)LED灯在时钟显示时有花样显示信号产生。即根据进位情况,LED不停的闪烁,从而产生“花样”信号。

根据总体方框图及各部分分配的功能可知,本系统可以由秒计数器、分钟计数器、小时计数器、整点报时、分的调整以及小时的调整和一个顶层文件构成。采用自顶向下的设计方法,子模块利用VHDL语言设计,顶层文件用原理图的设计方法。显示:小时采用24进制,而分钟均是采用6进制和10进制的组合。 数字时钟系统顶层原理图

多功能数字时钟的课程设计报告 1、本设计可以实现的功能 1)具有时、分、秒计数显示功能,以24小时循环计时。 2)时钟计数显示时有LED灯的花样显示。 3)具有调节小时、分钟及清零的功能。 4)具有整点报时功能。 2、初步设计的总体方框图 3、性能指标及功能设计 1)时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分——60进制计数,即从0到59循环计数,时钟——24进制计数,即从0到23循环计数,并且在数码管上显示数值。 2)时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的K1-K7进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。 3)清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。

EDA多功能数字钟设计

EDA设计(二) ——多功能数字钟设计 姓名:周婷婷 学号:0904220116 院系:电光学院

指导老师:花汉兵蒋立平 完成时间:2011年12月15号 多功能数字钟设计 摘要 该实验时利用QuartusII软件设计一个数字钟,进行实验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。此外还添加了星期功能,使得设计的数字钟功能更加完善。 Abstract :This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting , hour-correcting , minute-correcting , reset , timing-holding and belling on the hour. And then validated the design on the experimental board . In addition, additional functions like reseting the week make this digital clock a perfect one.

目录 1.设计要求 (4) 2.工作原理 (4) 3.各模块说明 (5) 1)分频模块 (5) 2)计时模块 (9) 3)显示模块 (11) 4)校分与校时模块 (11) 5)清零模块 (12) 6)保持模块 (13) 7)报时模块 (13) 4.扩展模块 (13) 1)星期模块 (13) 5.调试、编程下载 (14) 6.实验中出现问题及解决办法 (14) 7.实验收获与感受 (14)

数字钟电子设计论文

电子技术综合设计 姓名:学号: 专业:电气工程及自动化 题目:简易数字钟 专题:电子技术综合设计 指导教师: 设计地点:电工电子实验室 时间:年 12 月

电子技术综合设计任务书 学生姓名专业年级电气学号 设计日期:20 年11月16 日至20 年12 月日 设计题目:电子技术综合设计 设计专题题目:简易数字钟 设计主要内容和要求: 1. 主要内容: ①用CC4518双四位BCD同步加计数器设计60秒、60分、24小时归0 的计数电路; ②用CC4511 七段译码驱动/锁存器及LG5011AH共阴数码管设计译码及 显示电路(数码管需加限流电阻); ③用脉冲开关设计校准功能; ④用32768Hz晶振构成秒脉冲信号发生器(32768Hz脉冲需经过CD4060 的14级分频得到2Hz脉冲,再经过CD4040的2分频得到秒脉冲)2. 整体电路原理图 60秒(60分)及24小时------计数、译码、显示(4路) 用8K复印纸手工画(如同实数字验指导书P22图6-5 ) 3. EWB仿真图 60秒、60分、24小时------计数、译码、显示(6路) 计算机打印 4. 设计原理图 用PROTEL99设计原理图并打印。 5. 设计PCB版图 用PROTEL99设计PCB板并打印。 6. 功能扩展要求 设计:①整点报时功能②12小时归1计数电路 指导教师签字:

摘要 21世纪,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。 本次设计以数字电子为主,分别对秒计时显示、分计时显示、小时计时显示、校时电路、自带秒脉冲信号源进行设计,然后将它们组合,来完成时、分、秒的显示并且有自带秒脉冲信号源、走时校准的功能。并通过本次设计加深对数字电子技术的理解以及更熟练使用计数器、触发器和各种逻辑门电路的能力。电路主要使用集成计数器,例如CD4060、CD4518,译码集成电路,例如CD4511,LED数码管及各种门电路和基本的触发器等。 关键字:计数器;显示器;晶体振荡器;分频器;校时电路;

基于51单片机多功能电子时钟设计论文报告-毕设论文

单片机课程设计报告 多功能电子数字钟 姓名: 学号: 班级: 指导教师:

目录 一课程设计题目-------------------------------- 3 二电路设计--------------------------------------- 4 三程序总体设计思路概述------------------- 5 四各模块程序设计及流程图---------------- 6 五程序及程序说明见附录------------------- ** 六课程设计心得及体会---------------------- 11 七参考资料--------------------------------------- 12

一题目及要求 本次单片机课程设计在Proteus软件仿真平台下实现,完成电路设计连接,编程、调试,仿真出实验结果。具体要如下:用8051单片机设计扩展6位数码管的静态或动态显示电路,再连接几个按键和一个蜂鸣器报警电路,设计出一个多功能电子钟,实现以下功能: (1)走时(能实现时分秒,年月日的计时) (2)显示(分屏切换显示时分秒和年月日,修改时能定位闪 烁显示) (3)校时(能用按键修改和校准时钟) (4)定时报警(能定点报时) 本次课程设计要求每个学生使用Proteus仿真软件独立设计制作出电路图、完成程序设计和系统仿真调试,验收时能操作演示。最后验收检查 结果,评定成绩分为: (1)完成“走时+显示+秒闪”功能----及格 (2)完成“校时修改”功能----中等 (3)完成“校时修改位闪”----良好 (4)完成“定点报警”功能,且使用资源少----优秀

基于单片机的电子钟设计方案毕业论文。。.doc

基于单片机的电子时钟设计 摘要 20 世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于晶体震荡器,可能会导致误差。 数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24 小时计时方式,根据数码管动态显示原理来进行显示,用 12MHz的晶振产生振荡脉冲,定时 器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字 钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键字:数字电子钟单片机 数字电子钟的背景 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各 个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产 品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着 CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。下面是单片机的主要发 展趋势。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方 法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

EDA设计_多功能数字钟设计

EDA设计(二)课程设计报告 理工大学 2013 年09 月

目录 摘要及关键字 (3) 一.设计要求 (3) 二.总体方案设计 (3) 三.各子模块设计原理 (4) 1.分频部分 (4) 2.输入部分 (5) 1.防抖模块 (5) 2.输入模块 (6) 3.计时部分 (6) 1.模60的计数器 (6) 2.模24的计数器 (7) 3.校准模块 (7) 4.计时模块 (8) 4.显示部分 (9) 1.显示模块 (9) (1)6选1数据选择器 (10) (2)4-7译码器 (10) 5.闹钟部分 (10) 1.比较模块 (11) 2.选择器 (11) 6.报时部分 (13) 四. 硬件下载与测试 (15)

1.硬件下载 (15) 2.测试 (15) 3.功能扩展 (16) 五.结论 (16) 参考文献 (16) 数字电子钟的设计 摘要及关键字: 数字电子钟是生活中最常用的电子设备之一,其主要功能是能够显示时、分、秒实时信息,并能够方便地进行时、分、秒的初始值设置,以便时间校准。 实现数字电子钟有很多方法,本课程是采用VHDL硬件语言的强大描述能力和EDA工具的结合在电子设计领域来设计一个具有多功能的数字电子钟。 关键字:数字电子钟VHDL硬件语言EDA工具 一.设计要求: 1.设计一个电子钟能够显示时,分,秒;24小时循环显示。 2.电子钟有校时,校分,清零,保持,整点报时和闹钟的功能,具体如下: (1)数字钟最大计时显示23点59分59秒。 (2)在数字钟正常工作时可以对数字钟进行快速校时,校分,即拨动开关K7可以对时进行校正,拨动开关K6可以对分进行校正。 (3)在数字中正常工作情况下可以对其进行不断地复位,即拨动开关K5可以是时,分,秒显示回零。 (4)在数字钟正常工作时拨动开关K4可以使数字钟保持原有显示,停止计时。 (5)整点报时是要求数字钟在每小时整点到来前进行鸣叫,鸣叫频率是在59:53, 59:55, 59:57 为1kHz,59:59为2kHz。 (6)当开关K8等于0就可以看到正常计数时钟界面,有

电子时钟设计论文

一摘要 单片计算机即单片微型计算机。(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM , 定时,计数和多种接口于一体的微控制器。他体积小,成本低,功能强,广泛应用于智能产品和工业自动化上。而51 单片机是各单片机中最为典型和最有代表性的一种。这次毕业设计通过对它的学习,应用,从而达到学习、设计、开发软、硬的能力。 二说明 系统由AT89C51、LED 数码管、按键、发光二极管等部分构成,能实现时间的调整、定 时时间的设定,输出等功能。系统的功能选择由SB0、SB1、SB2、SB3、SB4 完成。其中SB0 为时间校对,定时器调整功能键,按SB 0 进入调整状态。SB1 为功能切换键。第一轮按动 SB1 依次进入一路、二路、三路定时时间设臵提示程序,按SB3 进入各路定时调整状态。定 时时间到,二极管发亮。到了关断时间后灭掉。如果不进入继续按SB1 键,依次进入时间?年?位校对、?月?位校对、?日?位校对、?时?位校对、?分?位校对、?秒?位 校对状态。不管是进入那种状态,按动SB2 皆可以使被调整位进行不进位增量加1 变化。各 预臵量设臵完成后,系统将所有的设臵存入RAM 中,按SB1 退出调整状态。上电后,系统自 动进入计时状态,起始于? 00?时? 00?分。SB4 为年月日显示转换键,可使原来显示时分秒转换显示年月日。 三、电路原理分析 1. 显示原理 电原理图见附图1。由6 个共阴极的数码管组成时、分、秒的显示。P0 口的8 条数据线 P0.0 至P0.7 分别与两个CD4511 译码的ABCD 口相接,P2 口的P2.0 至P2.2 分别通过电阻 R10 至R13 与VT1 至VT3 的基极相连接。这样通过P0 口送出一个存储单元的高位、低位BCD 显示代码,通过P2 口送出扫描选通代码轮流点亮LED1 至LED6,就会将要显示的数据在数 码管中显示出来。从P0 口输出的代码是BCD 码,从P2 口输出的就是位选码。这是扫描显示 原理。 。 2 键盘及读数原理 键盘是人与微机打交道的主要设备,按键的读取容易引起误动作。可采用软件去 抖动的方法处理,软件的触点在闭合和断开的时候会产生抖动,这时触点的逻辑电 平是不稳定的,如不采取妥善处理的话,将引起按键命令错误或重复执行,在这里 采用软件延时的方法来避开抖动,延时时间20ms. 3 连击功能的实现 按下某键时,对应的功能键解释程序得到执行,如操作者没有释放按键,则对应 的功能会反复执行,好象连续执行,在这里我们采用软件延时250ms,当按键没释放则

电子电路课程设计报告-多功能数字钟毕业论文

湖南工程学院 课程设计 课程名称_________ 数字电路技术__________________ 课题名称_________ 多功能数字钟__________________ 专业_____________ 班级_____________________________________ 学号_________________________________________ 姓名____________________________________ 指导教师_________________________________ 2011 年10 月21 日

目 一、设计要求内容与要求 二、设计总体思路,基本原理和框图 三、单元电路设计 四、仿真结果 五、实验调试 六、总结与体会 七、整机原理图

二、设计总体思路,基本原理和框图 本次课程设计依据数字钟应具有时、校时两大主要功能,可知该电路必须有如下几部分组成,信号发生器、计数器、译码器、显示器。然而其中信号发生器是秒、分、时所共有的,秒、分、时又分别拥有自己的计数器、译码器和显示器。其中秒、分、时相互之间的关系又各不相同,从秒到分与从分到时都是六十进一,而小时则是逢24则归零,故需分开设计。秒、分、时之间还涉及到进位的设计。 基本原理:信号发生器产生频率为1HZ的信号送往秒计时器,开始计时,通过进位一次让分、时计时器计时,再将其分别译码,最后显示出来,当其中还要有附加电路校时。 数字时钟原理框图如下

单元电路设计 1■信号发生器 在本次课程设计中采用了有 CB555定时器设计的一个多振荡器,当然也可以采用石 英晶体多振荡器。 多振荡器是一种自激振荡器,在接通电源以后,不需要外加触发信号,便能自动 产生就行脉冲。由CB555的特性参数,可知当电源5V 时,在100没mA 的输出 电流下输出电压为3.3V ,故取Vcc 为5V 可以满足脉冲幅度的需求。当参数如下 时,周期T=( R 1+2R 2)Cln2=1。这时刚好满足一秒钟的信号要求。 [R]/4 k Ohm ffiO% 47 k Ohm -AAAr- WuF 555 GNC vcc TRI CIS QUT 7HR REE CON 0.01 uF GM ED- VCC 图2 555芯片 47 k Ohm r-AA/V < ri TR I OLII Ff ELS DIS II R

南京理工大学毕业论文模板

南京理工大学 毕业设计(论文)开题报告 学生姓名:学号: 专业: 设计(论文)题目: 指导教师: 年月日

毕业设计(论文)开题报告 1.结合毕业设计(论文)课题情况,根据所查阅的文献资料,每人撰写2000字左右的文献综述: 文献综述 时间对人们来说可说是越来越宝贵,在快节奏的生活中,人们往往忘记了时间,一旦遇到重要的事情而忘记了时间,这将会带来很大的损失。 时钟的数字化给人们带来了极大的方便。其广泛用于个人家庭、车站、码头、办公室等公共场所,已成为人们日常生活中不可少的必需品。与传统机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,节省了电能。 在众多时钟设计可选方案中,可以利用中小规模集成电路设计,可以利用专用的时钟芯片设计,也可以利用单片机进行设计,各有特点。其中,单片机凭借其体积小、重量轻、抗干扰能力强,以及灵活性、可靠性好和其很高的性价比这些优点已经渗入到人们工作和生活的各个角落,有力地推动了各行业的技术改造和产品的更新换代,前景广阔。而且数字钟又具备单片机最小系统的基本组成,对于我们了解单片机也有很大的帮助。 20世纪末,电子技术获得了飞速的发展,在其推动下,现在电子产品几乎渗透了社会的各个领域,有力的推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。随着现在社会的快速发展,国内外已有多种数字钟设计成果。其中使用单片机设计的数字钟功能强大,界面友好,更好的满足了人们对它的智能化要求。 利用VHDL硬件描述语言设计的多功能数字钟的思路和技巧。在MAX+PLUSII开发环境中编译和仿真了所设计的程序,并在可编程逻辑器件上下载验证。 (《基于VHDL的多功能数字钟的设计》樊永宁等工矿自动化 2006年 03期) 采用低成本数字集成电路LM8569及配套的LED(发光二极管)显示器组成的家用数字钟,采用双电源供电,具有电路简单、价格低廉、精确度高、性能可靠、功能多以及适用性强等特点。(《用LM8569制作数字钟》樊永宁等工矿自动化 2006年03期) 以AT89C51 为中心控制单元,采用汇编语言编程,设计一个用6位数码管显示时、分、秒的时钟。该时钟可实现时间显示、时间调整、闹钟设置、整点报时和省电等多种功能,具有制作简单、调整方便、稳定性好、便于扩展等特点。经实践制作、调试,证明设计可靠、方案可行。 (《基于AT89C2051的多功能时钟设计》刘文霞等《现代电子技术》2008 年第18 期总第281期)

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

基于eda的多功能数字钟设计

目录 一.设计说明 (1) I.功能说明 (1) II.功能简介 (1) 二.总体方案分析 (3) 三.各子模块设计原理 (3) I.脉冲分频模块 (3) II.时钟计时模块(包含校定和清零功能) (4) III.显示控制电路 (7) IV.显示模块和计时模块结合 (11) V.整点报时模块 (11) VI.闹钟模块 (13) 四.调试与仿真 (17) I.脉冲发生模块 (17) II.计时电路 (18) III.仿真 (18) 五.收获与体会 (19) 六.附录 (20)

一.设计说明 I.功能说明: 设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校时间,每逢整点,产生报时音报时。系统框图如图1-1所示: 图1-1 多功能数字钟系统框图 II.功能简介 此设计问题可分为主控电路、计数器模块和扫描显示三大部,主控电路中各种特殊功能的实现设计问题的关键。 用两个电平信号A、B进行模式选择,AB=00为模式0,系统为计时状态;AB=01为模式1,系统为手动校时状态;AB=10为模式2,系统为闹钟设置状态。 设置一个cd信号,当cd=00时,表示在手动校对时,选择调整时部分;当cd=01时,表示在手动校对时,选择调整分钟部分;。当cd=10时,表示在手动校对时,选择调整秒部分 设置一个change信号,在手动校时或闹钟设置模式下,每按一次,计数器

加1。 设置一个reset信号,当reset=0时,整个系统复位;当reset=1时,系统进行计时或其他特殊功能操作。 设置一个闹钟设置信号reset1,当reset1=1时,对闹钟进行设置,当reset1=0时,关闭闹钟信号。 设置一个E信号,当E=0时,表示在手动校对时,选择调整时部分;当E=1时,表示在手动校对时,选择调整分钟部分 设置状态显示信号(连发光二极管):LD_alert指示是否设置了闹铃功能;LD_h指示当前调整的是小时信号;LD_m指示当前调整的是分钟信号。 当闹钟功能设置后(LD_alert=1),系统应启动一个比较电路,当计时与预设闹铃时间相等时,启动闹铃声,直到关闭闹铃信号有效。 整点报时部分由分和秒计时同时为0(或60)启动,与闹铃共用一个扬声器驱动信号out。 系统计时时钟为clk=1Hz,选择另一时钟clk_lk=1kHz作为产生闹铃声、报时音的时钟信号。 主控电路状态表如表1-1所示。硬件系统示意图如图1-2所示。 表 1-1 数字钟主控电路状态表

单片机电子时钟论文

CHANGCHUN INSTITUTE OF TECHNOLOGY 单片机原理及应用课程设计论文 设计题目:单片机电子时钟设计 学生姓名:别申浩戴秀锋王铸 学院名称:电气于信息工程学院 专业名称:电子信息工程 班级名称:电子1142 学号:10 16 33 完成时间: 2014年3月6日 2014年3月6日

任务分配表 班级学号姓名完成主要任务电子 1142 10 别申浩 Protues电路仿真,protel原理图及 pcb图绘制,完成开题报告及论文 电子 1142 16 戴秀锋 Protues电路仿真,protel原理图及 pcb图绘制,完成开题报告及论文 电子 1142 33 王铸 Protues电路仿真,protel原理图及 pcb图绘制,完成开题报告及论文

单片机电子时钟设计 别申浩戴秀锋王铸 电子1142 10 16 33 摘要: 本文设计以ATM89C51芯片作为硬件核心,用LCD液晶显示屏为显示系统,使用单片机自身计时系统,完成一个简易的电子时钟系统。该系统具有订正时间,秒表,闹钟等功能。设计过程中运用了protues,keil软件进行了画图仿真及系统程序的编写,还运用了protel软件进行了原理图及pcb图的设计绘制。本次实习通过对电子时钟的设计,熟悉了各种软件的运用,加深了对单片机语言的理解,学习了对LCD液晶显示设备的控制,对以后的学习工作积累了宝贵经验。关键词:A T89C51单片机LM016L显示器电子时钟

0 引言 当你每天被床边的电子时钟叫醒的时候,你便开始了与单片机相处的一天。 首先,你需要用电热水器来洗个澡;然后,你需要用电饭煲来填饱肚子,你或许还需要用洗衣机来清理换下来的脏衣服。当一切都准备好了,你需要一辆汽车载你去上班。当你工作的时候还需要用到手机去联系你的大客户。终于,到了下班时间,需要放松一下的你又打开了电视机……看,这些与我们生活息息相关的电器,他们都有一个同一样的心脏—单片机。而本次设计就是以我们最亲密的朋友51系列单片机为基础的电子时钟设计。 现今,高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟,石英表,石英钟都采用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调校,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替显示器代替指针显示进而显示时间,减小了计时误差,这种表具有时,分,秒显示时间的功能,还可以进行时和分的校对,片选的灵活性好。 时钟电路在计算机系统中起着非常重要的作用,是保证系统正常工作的基础。在一个单片机应用系统中,时钟有两方面的含义:一是指为保障系统正常工作的基准振荡定时信号,主要由晶振和外围电路组成,晶振频率的大小决定了单片机系统工作的快慢;二是指系统的标准定时时钟,即定时时间,它通常有两种实现方法:一是用软件实现,即用单片机内部的可编程定时/计数器来实现,但误差很大,主要用在对时间精度要求不高的场合;二是用专门的时钟芯片实现,在对时间精度要求很高的情况下,通常采用这种方法。 本文主要介绍用单片机内部的定时/计数器来实现电子时钟的设计,本设计由单片机AT89C51芯片和LCD液晶显示屏为核心,辅以必要的电路,构成了一个单片机电子时钟。

相关文档
相关文档 最新文档