文档库 最新最全的文档下载
当前位置:文档库 › 程序员之存储器的特性分析题

程序员之存储器的特性分析题

程序员之存储器的特性分析题
程序员之存储器的特性分析题

程序员之存储器的特性分析题

【例1-1】说明主存储器的特点和速度、存储容的含义。

分析:主存储器是随机访问存储器,CPU可以直接访问存储器任何一个单元,且其访问速度与被访单元的位置无关.这种随机访问存储器简称RAM。

机器对主存的要求首先是速度要快,通常用存取周期来表示。一个存取周期,包括读写时间和恢复再生时间。因此存取周期是指连续两次访问同一个存储单元的圾小间隔时间。

存储容fit是CPU能够直接存取的存储器单元数的总和。CPU每访问~次主存,读出的单位是一个字,字的长度不同机器有不同的规定.但都是字节的整数倍。在一些机器中,访问一次主存,可以读出一个字节的数据,因此每一个字节必须有一个地址,称为字节寻址,其存储器的容蛩单位是字节(byte)。

主存的容决定于由指令寻址方式产生的操作数有效地址的位数。若有效地址是10位二进制数据,则其容量为2ICI=1K:若有效地址是20位数,则其容若

有效地址是30位二进制数,则其容i是2315=1G?

【例1-2】说明下面各种型号半导体存储器的名称和特点。

RAM、DRAM、SRAM

ROM、PROM、EPROM、E2PROM、FM

解:RAM为随机读写存储器。

DRAM动态随机存储器,采用动态MOS电路,需要定时刷新保存当前信息。单管MOS电路集成度髙、功耗小,是当前RAM的主流型号产品。

SRAM静态随机存储器,不需刷新,速度快,但线路复杂集成度低,写入数据后只要不断电,即可长久保持数据不变。

ROM只读存储器,用于存放常用的固定程序,只读不写。

掩模ROM出厂时厂家已经把ROM制好,用户不能改动。

PROM可编程只读存储器,用户只能编程一次写入所需数据,以后不能再改动。EPROM可擦除可编程ROM,用紫外线照射15min擦除,再用专门装置写入新内容。E2PROM为电可擦除可编程ROM,使用比EPROM方便。

FM又叫闪存。为快速联机可改写只读存储器,但擦除时只能成块擦去。

【例1-2】说明闪速存储器FlashMemory主要特性与E2PROM有何差别?

闪存又叫快擦存储器。厲于一种新型电可擦除可编程、非易失性存储器,当机器断电后,闪存中的信息像磁盘一样长期保存,而速度又快于磁盘,体积小、可靠性髙,擦除时速度很快、但只能按数据块整块擦去,是优良的磁盘代用设备,又称硅盘。

闪存与E2PROM相像,都是属于电可擦除的可编程的只读存储器,闪存虽只能按数据块整块擦除,但擦除时间比E2PROM快,读出时间也快,小于90ns可代替ROM使用。

E2PROM的擦写过程分为两步进行,先擦除该单元原有数据,再在下一个写周期中将新的数据写入,写操作允许信号在10ms以上,速度较慢。

【例1-3】什么叫存储器刷新?什么类型存储器黹要刷新?为什么?

解:存储单元电路的趋势是采用MOS电路,由于集成度高,功耗小受到用户欢迎。

但集成度圾高的是单管MOS存储器,由于每一位二进制存储单元只用一个MOS晶体管和一个存储电容来实现,依站电容上是否存储电荷来记录存储“0”、“丨”信息。但电容上的电荷时间久了,例如超过2ms会逐渐泄泯,丢失存储的信息,为了保证存储器工作可猫性必须在存储电荷泄漏前对每个存储单元进行补充充电,这种悄况称为刷新,一般规定对动态存储器DRAM必须在2ms之内对所有电元刷新一遍。

【例1-3】说明主存储器的组成和读写工作原理。

解:主存储器是按地址访问的随机访问存储器,存储矩阵中所有存储单元的地位都是平等的.因此要求访存时对每一个存储单元都同时进行地址译码。

主存是按电元的地址进行读写的,因此,存储器中应该有地址寄存器。存放数据节元的集合称为存储体。由地址寻找存储体中某一个存储单元时是通过地址译码电路实现的。读出的数据存放在数据级冲寄存器中.准备送往数据总线,所有这些操作都是由存储器读写控制电路控制实现的。写入存储器的操作与读出不同,除了CPU提供存储单元的地址外,还必须在写入前将要写入的数据通过数据总线送往存储器的数据缓冲寄存器,再在写入命令控制下将数据写入到地址寄存器指定的存储单元中。

因此主存储器必须包括:存储体、地址寄存器、地址译码器、数据缓冲寄存器和读写控制电路。

【例1-69】说明存储系统中三级存储系统的作用原理及实现方法上的异同。

解:三级存储系统的主要目的是在现有技术基础上构造一个高速度大容量的存储器,其速度如同访问寄存器,其容量和磁盘系统一样大。

其原理是基于程序访问的局部性。当执行程序时,其后继指令和所需数据都是相对集中存放的,因此只要把包括当前指令的一个程序段一起取到髙速存储器,则访问后继指令或数据时,很大可能性是这些指令和数据己经取到髙速存储器中,CPU访问时可以很快取走,以提髙运算速度。

主存是一个CPU随机访问的存储器,但其速度比CPU慢一个数最级。为了使主存与CPU速度匹配,特别在CPU和主存之间构造一高速缓冲存储器cache,把正在执行的程序段调入髙速cache中,其后CPU再取指令和数据,大部分可在cache中找到,就不用再访问主存了,因而提高了CPU的访存速度。

用户程序可能是很大的,主存容设又满足不了要求,为了扩大存储器的容量,特别又增加了一个大容量的辅助存储器,用来存放暂不使用的程序和数据。当CPU需要使用辅存中的程序时,再将有关程序成批调入主存储器中,由于程序的局部性原理,CPU需要取后继指令或数据时,只要访问主存就可以得到了,不需要访问辅存,因而大大提高了访问辅存的速度,大大扩充了主存的容量。

理想情况下,如果CPU每次访问辅存中的指令或数据都可在主存中得到,每次访问主存单元都可在髙速缓冲存储器cache中找到,则我们好像得到了一个速度与cache-样快,容:S与辅存一样大的主存储器,这是非常理想的。

CaChe~主存层次和主存一辅存层次的工作原理都是相似的。在访问时,都需要经过地址变换,每次交换数据都是以数据块为单位,都是利用程序局部性原理,如果每次访存cache命中率较髙,则我们将得到一个速度比主存快,容?比主存大的存储器,这是我们期望的。

因为cache-主存层次更强调提高速度,所以地址变换等机制都是采用硬件办法实现的。而主存一辅存层次更侧重于扩大容i,并不苛求于速度,所以地址变换等机制采用软件方法实现。

【例1-70】设有一个存储器,容傲是256KB,cache容傲是2KB,每次交换的数据块是16B。

求:①主存可划分为多少块?②主存地址多少位?cache地址多少位?

③cache容可划分为多少块?

④CPU访问cache进行地址映像时,主存地址分为几个部分?每部分多少位?

解:①主存容蛩256KB,每块16B,主存可划分的块数为:256KB+16B=16K块。

②cache容2KB,每块16B,cache或划分的块数为:2KB+16B-2048+16=128块。

③主存容SU56KB,2I8=256K,主存地址位数为丨8位,cache容蛩为2KB.211=2K,cache地址有11位。

④访问cache时,主存地址可划分为3部分:区号、块号、与块内地址。

区号即主存地址高位标志,其位数是主存地址位数与cache地址位数之差。

本例中高位地址标志(区号)=18-11=7位。

也就是说,整个主存可以分为27=128个区,每个区的容量相当于cache的容ift,(即2KB).

块号:cache中可分为128块,27=128,块地址编号7位.

块内地址,决定每块大小,16=24,每块丨6字节,块内地址4位。

第三章存储系统习题参考答案1.有一个具有20位地址和32位字长的

第三章存储系统习题参考答案 1.有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作芯片选择? 解:(1)∵ 220= 1M,∴ 该存储器能存储的信息为:1M×32/8=4MB (2)(1000/512)×(32/8)= 8(片) (3)需要1位地址作为芯片选择。 2. 已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M×8位的DRAM芯片组成该机所允许的最大主存空间,并选用模块板结构形式,问:(1)每个模块板为16M×64位,共需几个模块板? (2)个模块板内共有多少DRAM芯片? (3)主存共需多少DRAM芯片? CPU如何选择各模块板? 解:(1). 共需模块板数为m: m=÷224=4(块) (2). 每个模块板内有DRAM芯片数为n: n=(224/222) ×(64/8)=32 (片) (3) 主存共需DRAM芯片为:4×32=128 (片) 每个模块板有32片DRAM芯片,容量为16M×64位,需24根地址线(A23~A0)完成模块板内存储单元寻址。一共有4块模块板,采用2根高位地址线(A25~A24),通过2:4译码器译码产生片选信号对各模块板进行选择。 3.用16K×8位的DRAM芯片组成64K×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS, CPU在1μS内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷

新一遍所需的实际刷新时间是多少? 解:(1)组成64K×32位存储器需存储芯片数为 N=(64K/16K)×(32位/8位)=16(片) 每4片组成16K×32位的存储区,有A13-A0作为片内地址,用A15 A14经2:4译码器产生片选信号,逻辑框图如下所示: (2)依题意,采用异步刷新方式较合理,可满足CPU在1μS内至少访问内存一次的要求。 设16K×8位存储芯片的阵列结构为128行×128列,按行刷新,刷新周期T=2ms,则异步刷新的间隔时间为: 则两次刷新的最大时间间隔发生的示意图如下 可见,两次刷新的最大时间间隔为tmax tmax=15.5-0.5=15 (μS) 对全部存储单元刷新一遍所需时间为t R t R =0.5×128=64 (μS)

实验三:内存储器部件实验

实验三内存储器部件实验 一、实验目的 1、通过学习TEC-2000教学计算机的存储器系统,深入理解计算机主存储器的功能和组成; 2、学习和理解只读存储器、静态存储器芯片的读写原理,掌握计算机存储器系统的扩展方法。 二、实验说明 TEC-2000教学计算机存储器系统由ROM和RAM两个存储区组成。ROM存储区由2个EEPROM芯片58C65(8192×8)组成,容 量为8192×16。RAM存储区由2个RAM芯片6116(2048×8)组成,容量为2048×16。TEC-2000教学计算机中还预留了2个存储 器芯片插座,可以插上相应存储器芯片进行存储器容量扩展的教学实验。 TEC-2000教学计算机存储器系统组成结构图 三、实验内容 1、完成存储器容量扩展实验,为扩展存储器选择一个地址,注意读写和/OE等控制信号的正确状态; 2、用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM(58系列)存储特性的区别以及在读写上的差异; 3、用监控程序的A命令编写一段程序,对RAM(6116)进行读写,用D命令查看结果是否正确。 四、实验步骤 1、RAM实验 RAM(6116)支持随机读写操作,可直接用A、E命令向存储器输入程序或改变存储单元的值。RAM中的内容在断电后会消失,重新启动教学机后会发现存储单元的值发生了改变。 1)用E命令改变内存单元的值并用D命令观察结果。 ⑴在命令行提示符状态下输入: E 2020↙ 屏幕显示2020 内存单元原值。按如下形式键入: 2222(空格)3333(空格)4444(空格)5555 ⑵在命令行提示符状态下输入: D 2020↙ 观察屏幕显示的从2020内存单元开始的值。 ⑶断电后重新启动教学实验机,用D命令观察内存单元2020-2023的值。 2)用A命令输入一段程序,执行并观察结果。 ⑴在命令行提示符状态下输入: A 2000↙ 键入如下汇编程序: 2000:MVRD R0, AAAA 2002:MVRD R1, 5555 2004:AND R0, R1

实验4存储器部件实验

北京林业大学 11学年—12学年第 2 学期计算机组成原理实验任务书 专业名称:计算机科学与技术实验学时: 2 课程名称:计算机组成原理任课教师:张海燕 实验题目:实验四内存储器部件实验 实验环境:TEC-XP+教学实验系统、PC机 实验内容 1.设计扩展8K字存储器容量的线路图,标明数据线、地址线和控制信号的连接关系。 2.扩展教学机的存储器空间,为扩展存储器选择一个地址,并注意读写等控制信号的正确状态。 3.用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM (58C65)在读写上的异同。 4.用监控程序的A命令编写一段程序,对RAM(6116)进行读写,用D命令查看结果是否正确。 5.用监控程序的A命令编写一段程序,对扩展存储器EEPROM(58C65)进行读写,用D命令查看结果是否正确;如不正确,分析原因,改写程序,重新运行。 实验目的 1.熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处。 2.理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案。 3.了解如何通过读、写存储器的指令实现对58C65ROM芯片的读、写操作。 4.加深理解存储器部件在计算机整机系统中的作用。 实验要求 1.实验之前认真预习,明确实验的目的和具体实验内容,做好实验之前的

必要准备。 2.想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果; 3.在教学实验过程中,要爱护教学实验设备,记录实验步骤中的数据和运算结果,仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。 4.实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,运算结果的分析讨论,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。 实验说明 内存储器是计算机中存放正在运行中的程序和相关数据的部件。在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括只读存储区(ROM、存放监控程序等)和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分成3组,其地址空间分配关系是:0-1777H用于第一组ROM,固化监控程序,2000-2777H用于RAM,保存用户程序和用户数据,其高端的一些单元作为监控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩展内存容量(存储器的字、位扩展)的教学实验。 在这里还要说明如下两个问题。 第一,要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8K个单元、每个单元由8个二进制位组成)存储器芯片实现。 第二,当存储器选用58C65ROM芯片时,它属于电可擦除的EPROM器件,可以通过专用的编程器软件和设备向芯片的写入相应的内容,这是正常的操作方式。也可以通过写内存的指令向芯片的指定单元写入16位的数据,只是每一次的这种写操作需要占用长得多写入时间,例如几百个微秒,可以通过运行完成等待功能的子程序来加以保证。本次试验采用的是通过写内存的指令将数据写入芯片

(整理)计算机组成实验五-存储器读写实验

实验五存储器读写实验 一、实验目的 1.掌握存储器的工作特性。 2.数学静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 存储器是计算机的主要部件,用来保存程序和数据。从工作方式上分类,存储器可分成易失性和非易失性存储器,易失性存储器中的数据在关电后将不复存在,非易失性储器又可分为动态存储器和静态存储器,动态存储器保存信息的时间只有2ms,工作时需要不断更新,既不断刷新数 据;静态存储器只要不断电,信息是不会丢失的。为简单起见,计算机组成实验用的是容量为2K的镜头存储器6116。 1.静态存储器芯片6116的逻辑功能 6116是一种数据宽度为8位(8个二进制位),容量为2048字节的态存储器芯片,封在24引脚的封装中,封装型式如图2-7所示。 6116芯片有8根双向三态数据线D7-D0,所谓三态是指输入状态、输 出状态和高阻状态,高阻状态数据线处于一种特殊的“断开”状态; 11根地址线A10-A0,指示芯片内部2048个存储单元号;3根控制线 片选控制信号,低电平时,芯片可进行读写操作,高电平时,芯片保存信息不能进行读写;为写入控制信号,低电平时,把数据线上 的信息存入地址线A10-A0指示的存储单元中;为输出使能控制信 号,低电平时,把地址线A10-A0指示的存储单元中的数据读出送到数 据线上。芯片控制信号逻辑功能见表2-9。 表2-9 6116芯片控制信号逻辑功能表

图2-7 存储器部件电路图 2. 3.存储器实验单元电力路 因为在计算机组成原理实验中仅用了256个存储单元,所以6116芯片的三根地址线A11-A8接地也没有多片联用问题,片选信号接地使芯片总是处于被选中状态。芯片的WE和信号分别连接实验台的存储器写信号和存储器读写信号,存储器实验单元逻辑电路如图2-7所示。这种简化了控制过程的实验电路可方便实验进行,存储器实验单元电路控制信号逻辑功能见表2-10。

计算机组成原理习题 第三章存储系统

第三章习题 一、填空题: 1.广泛使用的A.______和B.______都是半导体随机读写存储器。前者速度比后者 C.______,集成度不如后者高。 2.CPU能直接访问A.______和B.______,但不能直接访问磁盘和光盘。 3.广泛使用的 ______和 ______都是半导体随机读写存储器,前者比后者速度快, ___ ___不如后者高。它们断电后都不能保存信息。 4.由于存储器芯片的容量有限,所以往往需要在A.______和B.______两方面进行扩充才能满足实际需求。 5.Cache是一种A______存储器,是为了解决CPU和主存之间B______不匹配而采用的一项重要的硬件技术。 6.虚拟存贮器通常由主存和A______两级存贮系统组成。为了在一台特定的机器上执行程序,必须把B______映射到这台机器主存贮器的C______空间上,这个过程称为地址映射。 7.半导体SRAM靠A______存贮信息,半导体DRAM则是靠B______存贮信息。 8.主存储器的性能指标主要是存储容量,A.______和B.______。 9.由于存储器芯片的容量有限,所以往往需要在A.______和B.______两方面进行扩充才能满足实际需求。 10.存储器和CPU连接时,要完成A.______的连接;B.______的连接和C.______的连接,方能正常工作。 11.广泛使用的A.______和B.______都是半导体随机读写存储器,它们共同的特点是 C.______。 12.对存储器的要求是A.______,B.______,C.______,为了解决这三个方面的矛盾。计算机采用多级存储器体系结构。 13.虚拟存贮器通常由主存和A______两级存贮系统组成。为了在一台特定的机器上执行程序,必须把B______映射到这台机器主存贮器的C______空间上,这个过程称为地址映射。 14.多个用户共享主存时,系统应提供A______。通常采用的方法是B______保护和C______保护,并用硬件来实现。 15.由于存储器芯片的容量有限,所以往往需要在A.______和B.______两方面进行扩充才能满足实际需求。 16.相联存储器是按A.______访问的存储器,在cache中用来存放B.______,在虚拟存储器中用来存放C.______。在这两种应用中,都需要D.______查找。 17.DRAM存储器的刷新一般有A.___,B.___,C.___三种方式。 18.并行处理技术已成为计算计技术发展的主流。它可贯穿于信息加工的各个步骤和阶段。概括起来,主要有三种形式A. ______并行;B. ______并行;C. ______并行。 19.主存与cache的地址映射有A. ______、B. ______、C. ______三种方式。其中______方式适度地兼顾了前二者的优点,又尽量避免其缺点,从灵活性、命中率、硬件投资来说

西南交大 实验8 指令存储器与取指令部件的设计

实验8 指令存储器与取指令部件的设计 西南交大计算机组成原理实验(代码) 实验要求:建立256*16的指令存储器ROM,将它关联到元件IPM-Rom,有PC 值决定存储器地址,PC有清零,置数,自动加一,自动减一功能,并将指令输出到数码管显示。 实验原理:建立内存文件,256代表内存地址是8位,16代表内存数据是16位实验代码: PC: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PC is port(clk,reset,load,add:in std_logic; inn:in std_logic_vector(7 downto 0); output:buffer std_logic_vector(7 downto 0)); end; architecture one of PC is begin process(clk) begin --wt<=load&add; if clk'event and clk='1' then if reset='1' then output<="00000000"; else if load='1' then output<=inn; else if add='1' then output<=output+1; else output<=output-1; end if; end if; end if; end if; end process; end; FRQ:用于分频 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FRQ is port(clk_in:in std_logic; clk_out:out std_logic); end;

存储器系统 题库和答案

第3章存储器系统 一.选择题 1.计算机工作中只读不写的存储器是( )。 (A) DRAM (B) ROM (C) SRAM (D) EEPROM 2.下面关于主存储器(也称为内存)的叙述中,不正确的是( )。 (A) 当前正在执行的指令与数据都必须存放在主存储器内,否则处理器不能进行处理 (B) 存储器的读、写操作,一次仅读出或写入一个字节 (C) 字节是主存储器中信息的基本编址单位 (D) 从程序设计的角度来看,cache(高速缓存)也是主存储器 3.CPU对存储器或I/O端口完成一次读/写操作所需的时间称为一个( )周期。 (A) 指令(B) 总线(C) 时钟(D) 读写 4.存取周期是指( )。 (A)存储器的写入时间(B) 存储器的读出时间 (C) 存储器进行连续写操作允许的最短时间间隔(D)存储器进行连续读/写操作允许的最短时间3间隔 5.下面的说法中,( )是正确的。 (A) EPROM是不能改写的(B) EPROM是可改写的,所以也是一种读写存储器(C) EPROM是可改写的,但它不能作为读写存储器(D) EPROM只能改写一次 6.主存和CPU之间增加高速缓存的目的是( )。 (A) 解决CPU和主存间的速度匹配问题(B) 扩大主存容量 (C) 既扩大主存容量,又提高存取速度(D) 增强CPU的运算能力 7.采用虚拟存储器的目的是( )。 (A) 提高主存速度(B) 扩大外存的容量(C) 扩大内存的寻址空间(D) 提高外存的速度8.某数据段位于以70000起始的存储区,若该段的长度为64KB,其末地址是( )。(A) 70FFFH (B) 80000H (C) 7FFFFH (D) 8FFFFH 9.微机系统中的存储器可分为四级,其中存储容量最大的是( )。 (A) 内存(B) 内部寄存器(C) 高速缓冲存储器(D) 外存 10.下面的说法中,( )是正确的。(A) 指令周期等于机器周期 (B) 指令周期大于机器周期(C) 指令周期小于机器周期(D) 指令周期是机器周期的两倍11.计算机的主内存有3K字节,则内存地址寄存器需( )位就足够。 (A) 10 (B) 11 (C) 12 (D) 13 12.若256KB的SRAM具有8条数据线,那么它具有( )地址线。 (A) 10 (B) 18 (C) 20 (D) 32 13.可以直接存取1M字节内存的微处理器,其地址线需( )条。 (A) 8 (B)16 (C) 20 (D) 24 14.规格为4096×8的存储芯片4片,组成的存储体容量为( )。 (A) 4KB (B) 8KB (C) 16KB (D) 32KB 15.一个有16字的数据区,其起始地址为70A0:DDF6H,则该数据区末字单元的物理地址为()。 (A)14E96H (B)7E814H (C)7E7F6H (D)7E816H 16.某微型计算机可直接寻址64M字节的内存空间,其CPU的地址总线至少应有( )条。(A)20 (B)30 (C)16 (D)26 17.对于地址总线为32位的微处理器来说,其直接寻址范围可达()。

存储器和IO扩展实验,计算机组成原理

科技学院 课程设计实验报告 ( 2014--2015年度第一学期) 名称:计算机组成原理综合实验题目:存储器和I/O扩展实验 院系:信息工程系 班级: 学号: 学生姓名: 指导教师:李梅王晓霞 设计周数:一周 成绩: 日期:2015 年1 月

一、目的与要求 1. 内存储器部件实验 (1)熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处;学习用编程器设备向EEPROM芯片内写入一批数据的过程和方法。 (2)理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案; (3)了解静态存储器系统使用的各种控制信号之间正常的时序关系; (4)了解如何通过读、写存储器的指令实现对58C65 ROM芯片的读、写操作; (5)加深理解存储器部件在计算机整机系统中的作用。 2. I/O口扩展实验 学习串行口的正确设置和使用。 二、实验正文 1.主存储器实验内容 1.1实验的教学计算机的存储器部件设计(说明只读存储器的容量、随机读写器的容量,各选用了什么型号及规格的芯片、以及地址空间的分布) 在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括唯读存储区(ROM,存放监控程序等) 和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB 的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片 实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分 成3组,其地址空间分配关系是:0-1777h用于第一组ROM,固化监控程序, 2000-2777h用于RAM,保存用户程序和用户数据,其高端的一些单元作为监 控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩 展内存容量(存储器的字、位扩展)的教学实验。 1.2扩展8K字的存储空间,需要多少片58C65芯片,58C65芯片进行读写时的特殊要求 要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8192个单元、每个单元由8个二进制位组成)存储器芯片实现。对 58C65 ROM芯片执行读操作时,需要保证正确的片选信号(/CE)为低点平, 使能控制信号(/OE)为低电平,读写命令信号(/WE)为高电平,读58C65 ROM 芯片的读出时间与读RAM芯片的读出时间相同,无特殊要求;对58C65 ROM 芯片执行写操作时,需要保证正确的片选信号(/CE)为低电平,使能控制信 号(/OE)为高电平,读写命令信号(/WE)为低电平,写58C65 ROM芯片的 维持时间要比写RAM芯片的操作时间长得多。为了防止对58C65 ROM芯片执 行误写操作,可通过把芯片的使能控制引脚(/OE)接地来保证,或者确保读 写命令信号(/WE)恒为高电平。 1.3在实验中思考为何能用E命令直接写58C65芯片的存储单元,而A命令则有时不正确;

1计算机组成原理实验3_内存储器部件实验

《计算机原理实验》实验报告3 内存储器部件实验 一、实验结果 (一)存储器读写测试验证 1、用E命令改变内存单元的值并用D命令观察结果 (1)在命令行提示符状态下输入:E 2020↙ 屏幕将显示2020内存单元原值为: 按如下形式键入:2020 原值:2222 (空格)原值:3333(空格)原值:4444(空格)原值:5555↙(2)在命令行提示符状态下输入:D 2020↙ 屏幕将显示从2020内存单元开始的值,其中2020H~2023H的值为: 2222 3333 4444 5555 (3)断电后重新启动教学实验机,用D命令观察内存单元2020~2023的值。 2020H~2023H的值为:0400 BFFF 0000 FFEF 说明了RAM 断电数据易丢失。 2、用A命令输入一段程序,执行并观察结果 (1)在命令行提示符状态下输入: A 2000↙ 屏幕将显示:2000: 按如下形式键入: 2000:MVRD R0,AAAA 2002:MVRD R1,5555 2004:AND R0,R1 2005:RET 2006:↙ (2)在命令行提示符状态下输入: T 2000 ↙

R0的值变为:AAAA T↙ R1的值变为:5555 T↙ R0的值变为:0000 (3)在命令行提示符状态下输入: G 2000 运行输入的程序。 (4)在命令行提示符状态下输入: R ↙ 屏幕显示: R0=0000 R1= 5555 R2= 00BF 二、思考题 1、深入理解内存分成ROM存储区和RAM存储区两部分的理由。 答:ROM是只读存储器的简称,是一种只能读出事先所存数据的固态半导体存储器,其特性是一旦储存资料就无法再将至改变或删除。RAM是随机存储器,存储单元的内容可按需随意取出或存入,且存取的速度与存储单元的位置无关的存储器,这种存储器断电时将丢失其存贮内容,故主要用于存储段时间使用的程序。

存储器习题解答

1. 用下列芯片构成存储系统,各需要多少个RAM芯片?需要多少位地址作为片外地址译码?设系统为20位地址线,采用全译码方式。 (1)512×4位RAM构成16KB的存储系统; (2)1024×1位RAM构成128KB的存储系统; (3)2K×4位RAM构成64KB的存储系统; (4)64K×1位RAM构成256KB的存储系统。 解:(1) 需要16KB/512×4=64片,片外地址译码需20-log2512=11位地址线。 (2) 需要128KB/1K×1=1024片,片外地址译码需20-log21024=10位地址线。 (3) 需要64KB/2K×4=64片,片外地址译码需20-log2(1024×2)=9位地址线。 (4) 需要256KB/64K×1位=32片,片外地址译码需20-log2(1024×64)=4位地址线。 2. 现有一种存储芯片容量为512×4位,若要用它组成4KB的存储容量,需多少这样的存储芯片?每块芯片需多少寻址线?而4KB存储系统最少需多少寻址线? 解: 4K×8bit /512×4bit= 16片,需要16片存储芯片; 29 = 512,每片芯片需9条寻址线; 212 = 4096,4KB存储系统最少需12条寻址线。 3. 一个具有8KB直接相联Cache的32位计算机系统,主存容量为32MB,假定该Cache中块的大小为4个32位字。 (1)求该主存地址中区号、块号和块内地址的位数。 (2)求主存地址为ABCDEF16的单元在Cache中的位置。 解: (1) 主存区数为32MB/8KB = 4096,212= 4096,区号的位数为12; 区内块数为8KB/4×4B = 512,29 = 512,块号的位数为9; 块内单元数(字节编址)为4×32 / 8 = 16,24= 16,块内地址的位数4。 (2)主存地址为ABCDEF16的单元其二进制地址为: 0 1010 1011 1100 1101 1110 1111 (主存字节地址为25位) 区号为0 1010 1011 110 块号为0 1101 1110 数据在Cache中的位置是 0 1101 1110 1111

实验五存储器读写实验报告

实验五存储器读写实验报告 实验报告 课程名:《计算机组成原理》题目:实验五存储器读写班级:计算机+ 自动化0901班姓名:张哲玮,郑俊飞 《计算机组成原理》实验报告- 1 - 实验五、存储器读写实验 一、目的与要求 (1)掌握存储器的工作特性 (2)熟悉静态存储器的操作过程,验证存储器的读写方法 二、实验原理及原理图 (1)?静态存储器芯片6116的逻辑功能 6116是一种数据宽度为8位(8个二进制位),容量为2048字节的静态存储器芯片,封在24引脚的封装中,封装型式如图2-7所示。6116芯片有8根双向三态数据线D7-D0,所谓三态是指输入状态,输出状态和高阻状态,高阻状态数据线处于一种特殊的“断开”状态;11根地址线A10-A0,指示芯片内部2048个存储单元号;3根控制线CS片选控制信号,低电平时,芯片可进行读写操作,高电平时,芯片保存信息不能进行读写;WE 为写入控制信号,低电平时,把数据线上的信息存入地址线A10-A0指示的存储单元中;0E为输出使能控制信号,低电平时,把地址线A10-A0指示的存储单元中的数据读出送到数据线上。

6116芯片控制信号逻辑功能表 (2).存储器实验单元电路 因为在计算机组成原理实验中仅用了256个存储单元,所以6116芯片的3根地址线A11-A8接地也没有多片联用问题,片选信号CS接地使芯片总是处于被选中状态。芯片的WE和0E信号分别连接实验台的存储器写信号M-W和存储器读信号M-Ro这种简化了控制过程的实验电路可方便实验进行。 存储器部件电路图 (3)?存储器实验电路 存储器读\写实验需三部分电路共同完成:存储器单元(MEM UNIT),地址寄存器单元(ADDRESS UNIT)和输入,输出单元(INPUT/OUTPIT UNIT).存储器单元6116芯片为中心构成,地址寄存器单元主要由一片74LS273组成,控制信号B-AR的作用是把总线上的数据送入地址寄存器,向存储器单元电路提供地址信息,输入,输出单元作用与以前相同。

最新存储器与存储器系统

存储器与存储器系统

第五章存储器与存储器系统 内容提要: 1.存储器的分类、性能指标,存储器系统的多层结构; 2.半导体存储器的基本结构、工作原理; 3.半导体存储器容量的形成与寻址及其与8086CPU的连接; 4.内存条的选择与安装; 5. EPROM编程实践。 学习目标: 1.掌握存储器的分类、性能指标,存储器系统的多层结构; 2.掌握存储器芯片RAM、EPROM的基本结构、地址形成方法; 3.重点掌握8086CPU与存储器的连接技术; 4.掌握EPROM编程技术; 5.了解DRAM刷新,内存条选择与安装。 难点: CPU与存储器的连接。 学时:6 实验学时: 作业: 1、由2K×1bit的芯片组成容量为4K×8bit的存储器需要个存储芯片。 A)2 B)8 C)32 D)16 2、由2732芯片组成64KB的存储器,则需要块芯片和根片内地址线。 A)12 B)24 C)16 D)14 3、安排2764芯片内第一个单元的地址是1000H,则该芯片的最末单元的地址是。

A)1FFFH B)17FFH C)27FFH 4)2FFFH 将存储器与系统相连的译码片选方式有法和法。 4、若存储空间的首地址为1000H,存储容量为1K×8、2K×8、4K×8H和8K× 8的存储器所对应的末地址分别为、、 和。 5、对6116进行读操作,6116引脚CE= ,WE= , OE= 。 6、试用4K×8位的EPROM2732和2K×8位的静态RAM6116以及LS138译码器,构成一个8KB.的ROM、4KB的RAM存储器系统(8086工作于最小模式),ROM地址范围为:FE000H~FFFFFH,RAM地址范围为:00000H~00FFFH。 一、概述 1. 存储器分类 1)按存储介质分:半导体存储器、磁表面存储器、光表面存储器; 2)按读写功能分:ROM和RAM; 3)按信息的可保存性分类: 非永久性记忆存储器(断电后信息消失):RAM 永久性记忆存储器(断电后信息仍保存):ROM、磁表面或光表面存储器; 4)按在计算机系统中的作用分类:主存储器(内存)、辅助存储器(外存)、高速缓冲存储器。

实验一 存储器实验

实验一存储器实验 1.FPGA中LPM_ROM定制与读出实验 一.实验目的 1、掌握FPGA中lpm_ROM的设置,作为只读存储器ROM的工作特性与配置方法。 2、用文本编辑器编辑mif文件配置ROM,学习将程序代码以mif格式文件加载于 lpm_ROM中; 3、在初始化存储器编辑窗口编辑mif文件配置ROM; 4、验证FPGA中mega_lpm_ROM的功能。 二.实验原理 ALTERA的FPGA中有许多可调用的LPM (Library Parameterized Modules)参数化的模块库,可构成如lpm_rom、lpm_ram_io、lpm_fifo、lpm_ram_dq的存储器结构。CPU 中的重要部件,如RAM、ROM可直接调用她们构成,因此在FPGA中利用嵌入式阵列块EAB 可以构成各种结构的存储器,lpm_ROM就是其中的一种。lpm_ROM有5组信号:地址信号address[ ]、数据信号q[ ]、时钟信号inclock、outclock、允许信号memenable,其参数都就是可以设定的。由于ROM就是只读存储器,所以它的数据口就是单向的输出端口,ROM中的数据就是在对FPGA现场配置时,通过配置文件一起写入存储单元的。图3-1-1中的lpm_ROM有3组信号:inclk——输入时钟脉冲;q[23、、0]——lpm_ROM的24位数据输出端;a[5、、0]——lpm_ROM的6位读出地址。 实验中主要应掌握以下三方面的内容: ⑴ lpm_ROM的参数设置; ⑵ lpm_ROM中数据的写入,即LPM_FILE初始化文件的编写; ⑶lpm_ROM的实际应用,在GW48_CP+实验台上的调试方法。 三.实验步骤 (1)用图形编辑,进入mega_lpm元件库,调用lpm_rom元件,设置地址总线宽度address[] 与数据总线宽度q[],分别为6位与24位,并添加输入输出引脚,如图3-1-1设置与连接。 (2)设置图3-1-1为工程。 (3)在设置lpm_rom数据参数选择项lpm_file的对应窗口中(图3-1-2),用键盘输入 lpm_ROM配置文件的路径(rom_a、mif),然后设置在系统ROM/RAM读写允许,以便能

存储器实验

南京晓庄学院 信息工程学院 计算机组成原理课程 实 验 报 告 实验名称:存储器实验 年级专业班级:14级计算机科学与技术专业14计算机转本1 班级学号:14131504 姓名:康志勇 时间:2016 年 11月26 日 一、实验目的、要求:

EXD0EXD1EXD2EXD3EXD4EXD5EXD6EXD7 A010A19A28A37A46A55A64A73A825A924A1021A1123A122CS 1 20 CS 226WE 27OE 22D0 11D112D213D315D416D517D618D7 19 U52 6264 G ND V CC AD0AD1AD2AD3AD4AD5AD6AD7 CE` P 1.2P 1.1 图3-5 D13 Q1 2 D24Q2 5 D37Q3 6 D48Q4 9 D513Q5 12 D614Q6 15 D717Q7 16 D818 Q819 CLK 11CLR 1 U3774LS 273 V CC A02A13A24A35A46A57A68A79 B0 18 B1 17 B2 16 B3 15 B4 14 B5 13 B6 12 B7 11 E 19 DIR 1 U5174LS 245 S WB`V CC G ND KD6KD5KD4KD3KD0 KD2KD7KD1输入数据 L Z D 0-L Z D 7 UN2A 74LS 08 LDAR T 32 13 L D 0-L D 7L A D 0-L A D 7 45 6T 3WE UN2B 74001、掌握静态随机存取存储器RAM 工作特性及数据的读写方法。 二、实验仪器设备、器件及环境: 仪器设备名称 规格型号 编号 备注 模型机运算器 DVCC-C8JH 20112034 三、实验方法、原理: 图1.1.1 主存储器单元电路主要用于存放实验机的机器指令,如图1.1.1所示,它的数据总线挂在 外部数据总线EXD0~EXD7上;它的地址总线由地址寄存器单元电路中的地址寄存器74LS273(U37)给出,地址值由8个LED 灯LAD0~LAD7显示,高电平亮,低电平灭;在手动方式下,输入数据由8位数据开关KD0~KD7提供,并经一三态门74LS245(U51)连至外部数据总线EXD0~EXD7,实验时将外部数据总线EXD0~EXD7用8芯排线连到内

PLC系统存储器与用户存储器的功能

系统存储器用来存放由PLC生产厂家编写的系统程序,系统程序固化在ROM内,用户不能直接更改,它使PLC具有基本的功能,能够完成PLC设计者规定的各项工作。系统程序质量的好坏,很大程度上决定了PLC的性能,其内容主要包括三部分。 第一部分为系统管理程序,它主要控制PLC的运行,使整个PLC按部就班地工作。第二部分为用户指令解释程序,通过用户指令解释程序,将PLC 的编程语言变为机器语言指令,再由CPU执行这些指令。第三部分为标准程序模块与系统调用,它包括许多不同功能的子程序及其调用管理程序,如完成输入、输出及特殊运算等的子程序。PLC的具体工作都是由这部分程序来完成的,这部分程序的多少也决定了PLC性能的高低。 用户存储器包括用户程序存储器(程序区)和功能存储器(数据区)两部分。用户程序存储器用来存放用户针对具体控制任务用规定的PLC编程语言编写的各种用户程序,以及用户的系统配置。用户程序存储器根据所选用的存储器单元类型的不同,可以是RAM(有掉电保护)、EPROM或EEPROM存储器,其内容可以由用户任意修改或增删。用户功能存储器是用来存放(记忆)用户程序中使用器件的ON/OFF状态/数值数据等。用户存储器容量的大小,关系到用户程序容量的大小,是反映PLC性能的重要指标之一。 艾驰商城是国内最专业的MRO工业品网购平台,正品现货、优势价格、迅捷配送,是一站式采购的工业品商城!具有10年工业用品电子商务领域研究,以强大的信息通道建设的优势,以及依托线下贸易交易市场在工业用品行业上游供应链的整合能力,为广大的用户提供了传感器、图尔克传感器、变频器、断路器、继电器、PLC、工控机、仪器仪表、气缸、五金工具、伺服电机、劳保用品等一系列自动化的工控产品。 如需进一步了解台达PLC、西门子PLC、施耐德plc、欧姆龙PLC的选型,报价,采购,参数,图片,批发等信息,请关注艾驰商城https://www.wendangku.net/doc/0b3835449.html,/

存储器部件实验报告

实验报告 实验名称 存储器部件教学实验 实验日期 2013年11月18日 实验小组人员 谢林红符斯慧 实验设备 TEC-XP16教学实验系统、仿真终端软件PCEC 实验目的 1.深入理解计算机内存储器的功能、组成知识; 2.深入地学懂静态存储器芯片的读写原理和用他们组成教学计算机存储器系统的方法(即字、位扩展技术),控制其运行的方式; 3.思考并对比静态和动态存储器芯片在特性和使用场合等方面的同异之处。 实验内容与步骤 1.检查扩展芯片插座的下方的插针要按下列要求短接:标有“/MWR”“RD”的插针左边两个短接,标有“/MRD”“GND”的插针右边两个短接。 2.1〉用E 命令改变内存单元的值并用D 命令观察结果。 ①在命令行提示符状态下输入:E 2020↙ 屏幕将显示:2020 内存单元原值: 按如下形式键入: 2020 原值:2222 (空格)原值:3333(空格)原值:4444(空格)原值:5555↙ ②在命令行提示符状态下输入:D 2020↙ 屏幕将显示从2020 内存单元开始的值,其中2020H~2023H 的值为: 2222 3333 4444 5555 ③断电后重新启动教学实验机,用D 命令观察内存单元2020~2023 的值。会发现原来置入到这几个内存单元的值已经改变,用户在使用RAM 时,必须每次断电重启后都要重新输入

程序或修改内存单元的值。 2〉用A 命令输入一段程序,执行并观察结果。 ①在命令行提示符状态下输入:A 2000↙ 屏幕将显示:2000: 按如下形式键入: 2000:MVRD R0,AAAA 2002:MVRD R1,5555 2004:AND R0,R1 2005:RET 2006:↙ ②在命令行提示符状态下输入:T 2000 ↙ R0 的值变为AAAAH,其余寄存器的值不变。 T↙ R1 的值变为5555H,其余寄存器的值不变。 T↙ R0 的值变为0000H,其余寄存器的值不变。 ③在命令行提示符状态下输入: G 2000 运行输入的程序。 ④在命令行提示符状态下输入:R ↙ 屏幕显示: R0=0000 R1=5555 R2=… 3.将扩展芯片下方的插针按下列方式短接:将标有“/MWR”“PGMPGM”和“RD”的三个插针左面两个短接,将标有“/MWD”“/OE”“GND”的三个插针左边两个短接; 4.将扩展芯片上方标有EXTROMH 和EXTROML 的“/CS”信号用自锁紧线短接,然后短接到MEMDC138 芯片的上方的标有“4000-5fff”地址单元; 5.EPROM 是紫外线可擦除的电可改写的只读存储器芯片。在对EPROM 进行重写前必须先擦除并判断芯片是否为空,再通过编程器进行编程; ①D 命令看内存单元0000~001F 的值。可以看到内存单元的值为:01FF 02FF 03FF 04FF......1FFF; ②用E 命令向芯片的内存单元置入数值,再用D 命令察看,会发现原来的值没有改变;用A命令向芯片所在的地址键入程序,用U 命令反汇编,会发现地址仍然保持原来的值。该实验说明EPROM 不能直接修改和编程,必须先擦除,再通过编程器编程; ③将教学机断电后重启,用D 命令看内存单元0000~001F 的内容,会发现数值没变,EPROM 的内容在断电后会保持。 6.AT28C64B 的读操作和一般的RAM 一样,而其写操作,需要一定的时间,大约为1 毫秒。因此,需要编写一延迟子程序,在对EEPROM 进行写操作时,调用该子程序,以完成正确的读写。 1)用E 命令改变内存单元的值并用D 命令观察结果。 ①在命令行提示符状态下输入:E 5000↙ 屏幕将显示:5000 内存单元原值: 按如下形式键入: 5000 原值:2424(按空格)原值:3636(按空格)原值:4848(按空格)原值:5050↙②在命令行提示符状态下输入:D 5000↙

《计算机组成原理》实验指导

《计算机组成原理》实验指导

实验一监控程序与汇编语言程序设计实验 教学机的监控程序是用教学机的汇编语言实现的,运行在教学机的硬件系统之上。它的主要功能是支持把计算机终端或PC机仿真终端接入教学机系统,使用这样的设备执行输入/输出操作,运行教学机的有关程序,以更方便直观的形式支持教学机上的各项实验功能,提供教学机汇编语言的可用子程序。 监控程序提供类似PC机DOS系统下的Debug程序的功能,支持A、U、G、P、T、R、D和E共8个监控命令。 监控命令的格式为: 单字母的命令名后跟回车,或命令名后跟一个地址参数,或寄存器名(编号)参数。当有些命令运行时需要参数,但命令名后又不跟参数时,监控程序会从内存指定单元取一个默认的地址参数值,通常为该命令前一次运行后所接收地址。TEC—2机从终端接收地址、指令,数值时,均用最多4位的16进制数输入与显示,并且不能(或说不必)用跟字符h加以标志。 ⑴单条汇编命令A 格式:A[adr] 这里的[adr]表示此处的地址参数adr为任选项(但选择范围必须为0800H—0FFFH)。无此参数时,系统将取默认值。该规则下同。 功能:完成单条指令的汇编操作,把产生出来的TEC—2机的执行代码放入对应的内存单元中。命令名后的地址是头一条汇编语句的执行码的内存单元地址。每条语句汇编完成之后,系统将相应修改地址值,以便正确处理下条汇编语句。 在应该输入汇编语句时,不给出汇编语句而直接回车,则结束A命令的运行过程。 若汇编中发现语法错误,用?指明出错位置后请求重新给出正确语句。 要说明,这里的单条汇编功能不很完善,例如不支持语句标号,也不能使用伪指令等。遇到这些问题,要求使用者直接使用机器码,并通过E命令将其送入相应内存单元。 ⑵反汇编命令U 格式:U[adr] 功能:每次从指定的(或默认的)地址反汇编15条命令,并将结果显示在终端屏幕上。反汇编完成之后,已将该命令的默认地址修改好。接下来再键入不带参数的U命令,保证接着从上一次反汇编的最后一条语句之后继续反汇编。 ⑶执行程序命令G 格式:G[adr] 功能:从指定的(或默认的)地址连续运行一个用户程序。为了使程序执行后能返回监控程序,要求每个程序的最后一条指令应为RET指令。 ⑷单指令执行程序命令T和P 格式:P[adr] T[adr] 功能:从指定地址(或PC中的当前地址)开始单条执行程序指令。通常情况下,每按一次T或P将执行一条指令。T和P命令的区别是,T总是执行单条指令,但执行P命令时,则把每一个CALL语句连同被调用的子程序一次执行完成。每次执行后均显示所有通用寄存器及状态寄存器的内容,并反汇编出下一条将要执行的指令。由于P和T命令是通过设置断点来实现的,而在ROM区不能设置断点,故不能用它们来执行固化在ROM区中的监控程序。 ⑸显示/ 修改寄存器内容的命令R 格式:R[reg] 其中reg为寄存器名R0——R15或SP(R4),PC(R5),IP(R6),当R命令不带带寄

机组原理存储器部件教学实验

《计算机组成与结构》实验报告 实验项目实验三 存储器部件教学实验 实验编号2280110803 学号姓名 成绩 1、实验目的 通过看懂教学计算机中已经使用的几个存储器芯片的逻辑连接关系和用于完成存储器容量扩展的几个存储器芯片的布线安排,在教学计算机上设计、实现并调试出存储器容量扩展的实验内容。 其最终要达到的目的是: 1. 深入理解计算机内存储器的功能、组成知识; 2. 深入地学懂静态存储器芯片的读写原理和用他们组成教学 计算机存储器系统的方法(即字、位扩展技术),控制其 运行的方式; 思考并对比静态和动态存储器芯片在特性和使用场合等方面的同异之处。 2、实验内容 1. 要完成存储器容量扩展的教学实验,需为扩展存储器选择一 个地址,即将扩展存储器的片选(标有/CS 的2 个插孔的上 面一个)与标有MEM /CS 的插孔中的一个相连; 2. 用监控程序的 D、E 命令对存储器进行读写,比较 RAM(6116)、EEPROM(28 系列芯片)EPROM(27 系 列芯片)在读写上的异同; 3. 用监控程序的 A 命令编写一段程序,对RAM(6116)进行 读写,用D 命令查看结果是否正确; 4. 用监控程序的 A 命令编写一段程序,对扩展存储 器EEPROM(28 系列芯片)进行读写,用D命令查看结果 是否正确;如不正确,分析原因,改写程序,重新运行;3、实验步骤 1. 将 RAML(6116)右边的两个圆孔针用导线连接起来. 2. RAM(6116)支持即时读写,可直接用A、E 命令向扩展的存储器输入程序或改变内存单元的值。RAM 中的内容在断电后会消失,重新启动实验机后会发现内存单元的值发生了改变。

相关文档