文档库 最新最全的文档下载
当前位置:文档库 › altium designer

altium designer

Altium Designer 6.0初学教程

Altium Designer6.0 集成了FPGA 设计的PCB工具。

我们的联系邮件地址是:support@https://www.wendangku.net/doc/0a4144606.html,,中文网站:https://www.wendangku.net/doc/0a4144606.html,,英文网站:https://www.wendangku.net/doc/0a4144606.html,

上海联系电话:021-********

Altium 的演示中心提供很多有用的操作范例。网址是:

https://www.wendangku.net/doc/0a4144606.html,/Evaluate/DemoCenter/AltiumDesigneroverview.

Altium Designer ----- 完整的板级系统设计平台

NanoBoard-NB1 ----- 数字逻辑可编程芯片可替换的系统验证平台

Altium Designer是业界第一款也是唯一一种完整的板级设计解决方案。Altium Designer 拓宽了板级设计的传统界限,集成了FPGA设计功能,从而允许工程师能将系统设计中的FPGA与PCB设计集成在一起。Altium Designer以强大的设计输入功能为特点,在FPGA和板级设计中,同时支持原理图输入和HDL硬件描述输入模式;同时支

持基于VHDL的设计仿真,混合信号电路仿真、布局前/后信号完整性分析。Altium Designer的布局布线采用完全规则驱动模式,并且在PCB布线中采用了无网格的SitusTM拓扑逻辑自动布线功能;同时,将完整的CAM输出功能的编辑结合在一起。 基于Altium新推出的支持Livedesign的DXP平台,Altium Designer在你的整个系统设计流程中充分发挥其卓越的性能。

支持多国语言(中文、英文、德文、法文、日文)

完全兼容Protel98/Protel99/Protel99se/ProtelDXP,并提供对Protel99se下创建的DDB文件导入功能

支持PCB与FPGA引脚的双向同步

提供完善的混合信号仿真、布线前后的信号完整性分析功能

提供了对高密度封装(如BGA)的交互布线功能

NanoBoard NB1开发板和板上的逻辑可编程芯片一起组成了可重新配置的系统设计验证平台

NanoBoard NB1使用板上JTAG*接口与用户的PC进行连接, 来支持硬件设计的下载和提供NanoBoard NB1与用户PC之间的通讯

NanoBoard NB1是第一款LiveDesign – enabled系统设计验证平台

允许用户交互式的执行并调试验证基于逻辑可编程芯片的系统设计

用于配合Altium公司Altium Designer的设计方案验证

NanoBoard NB1配套子板:

?Altera? - Cyclone?(EP1C12-Q240C7)

?Altera? - Cyclone?with SRAM (EP1C20F400C8)

?Altera? - Stratix?with SRAM (EP1S10-F780C7)

?Altera? - Max? 3000/7000 with PLCC sockets (EPM7032AELC44-10)

?Xilinx? - Spartan?-IIE (XC2S300E-6PQ208C)

?Xilinx? - Spartan?-II (XC2S200-6PQ208C)

?Xilinx? - Spartan?-IIE with SRAM (XC2S600E-6FG456C)

?Xilinx? - Spartan?-3 with SRAM (XC3S1000-4FG456C)

?Xilinx? - Virtex?-II with SRAM (XC2V1000-4FG456C)

?Xilinx? - Virtex?-II Pro with SRAM (XC2VP7-5FG456C)

?Xilinx? - CoolRunner?-II (XC2C256-6PQ208C)

?Xilinx? - CoolRunner? XPLA3 (XCR3256XL-12PQ208C)

?Xilinx? - XC9500XL?(XC95288XL-6PQ208C)

?Xilinx? - XC9500XV?(XC95288XV-6PQ208C)

?Actel?- ProASIC Plus?(APA600-FPQ208)

PCB与FPGA设计的系统集成

Altium Designer将传统的PCB设计与数字逻辑电路设计集成起来,突破了传统板级设计的界限;从而使系统电路设计、验证及CAM输出功能结合在一起。 Altium Designer 的PCB与FPGA引脚的双向同步功能,充分诠释了Altium公司为主流设计人员提供易学、易用的EDA设计工具的一贯理念。

NanoBoard NB1

前言: Protel软件的最新高端版本Altium Designer 6.0 介绍

2005年年底,Protel软件的原厂商Altium公司推出了Protel系列的最新高端版本Altium Designer 6.0。 Altium Designer 6.0,它是完全一体化电子产品开发系统的一个新版本,也是业界第一款也是唯一一种完整的板级设计解决方案。Altium Designer 是业界首例将设计流程、集成化PCB 设计、可编程器件(如FPGA)设计和基于处理器设计的嵌入式软件开发功能整合在一起的产品,一种同时进行PCB和FPGA设计以及嵌入式设计的解决方案,具有将设计方案从概念转变为最终成品所需的全部功能。

这款最新高端版本Altium Designer 6.除了全面继承包括99SE,Protel2004在内的先前一系列版本的功能和优点以外,还增加了许多改进和很多高端功能。Altium Designer 6.0拓宽了板级设计的传统界限,全面集成了FPGA设计功能和SOPC设计实现功能,从而允许工程师能将系统设计中的FPGA与PCB设计以及嵌入式设计集成在一起。

首先:在PCB部分,除了Protel2004中的多通道复制;实时的、阻抗控制布线功能;SitusTM 自动布线器等新功能以外,Altium Designer 6.0还着重在:差分对布线,FPGA器件差分对管脚的动态分配, PCB和FPGA之间的全面集成,从而实现了自动引脚优化和非凡的布线效果。还有PCB文件切片,PCB多个器件集体操作,在PCB文件中支持多国语言(中文、英文、德文、法文、日文),任意字体和大小的汉字字符输入,光标跟随在线信息显示功能,光标点可选器件列表,复杂BGA器件的多层自动扇出,提供了对高密度封装(如BGA)的交互布线功能, 总线布线功能,器件精确移动,快速铺铜等功能。

交互式编辑、出错查询、布线和可视化功能,从而能更快地实现电路板布局,支持高速电路设计,具有成熟的布线后信号完整性分析工具. Altium Designer 6.0 对差分信号提供系统范围内的支持,可对高速内连的差分信号对进行充分定义、管理和交互式布线。支持包括对在FPGA项目内部定义的LVDS信号的物理设计进行自动映射。 LVDS 是差分信号最通用的标准,广泛应用于可编程器件。Altium Designer 可充分利用当今FPGA 器件上的扩展I/O管脚。

其次,在原理图部分,新增加“灵巧粘帖”可以将一些不同的对象拷贝到原理图当中,比如一些网络标号,一页图纸的BOM表,都可以拷贝粘帖到原理图当中。原理图文件切片,多个器件集体操作,文本筐的直接编辑,箭头的添加,器件精确移动,总线走线,自动网标选择等!强大的前端将多层次、多通道的原理图输入、VHDL开发和功能仿真、布线前后的信号完整性分析功能。在信号仿真部分,提供完善的混合信号仿真,在对XSPICE 标准的支持之外,还支持对Pspice模型和电路的仿真。对FPGA设计提供了丰富的IP内核,包括各种处理器、存储器、外设、接口、以及虚拟仪器。

第三在嵌入式设计部分,增强了JTAG器件的实时显示功能,增强型基于FPGA的逻辑分析仪,可以支持32位或64位的信号输入。除了现有的多种处理器内核外,还增强了对更多的32位微处理器的支持,可以使嵌入式软件设计在软处理器,FPGA内部嵌入的硬处理器,分立处理器之间无缝的迁移。使用了Wishbone 开放总线连接器允许在FPGA上实现的逻辑模块可以透明的连接到各种处理器上。Altium Designer 6.0支持 Xilinx MicroBlaze,TSK3000 等32位软处理器,PowerPC 405 硬核,并且支持AMCC 405和Sharp BlueStreak ARM7 系列分立的处理器。对每一种处理器都提供完备的开发调试工具。

引入了以FPGA为目标的虚拟仪器,当其与 LiveDesign-enabled硬件平台NanoBoard 结合时,用户可以快速、交互地实现和调试基于FPGA的设计,可以更换各种FPGA子板,支持

更多的FPGA器件,例如Cyclone II,Stratix II , ProASIC3,Virtex-4,MAX II等系列器件,提供了各个厂家近百种类型的FPGA子板,包括几十款FPGA+MCU(CPU)+RAM+SDRAM的子板。

在器件库方面支持基于ODBC和ADO的数据库,可以使用OrCAD的器件库。完全兼容Protel98/Protel99/Protel99se/ProtelDXP,并提供对Protel99se下创建的DDB和库文件导入功能,还增加了P-CAD,OrCAD PADS PCB等软件的设计文件和库的导入, AutoCAD和其它软件的文件导入和导出功能。完整的ODB++ / Gerber CAM-系统使得用户可以重新设计原有有的设计,弥补设计和制造之间的差异.

Altium Designer 6.0以强大的设计输入功能为特点,在FPGA和板级设计中,同时支持原理图输入和HDL硬件描述输入模式;同时支持基于VHDL的设计仿真,混合信号电路仿真、布局前/后信号完整性分析.Altium Designer 6.0的布局布线采用完全规则驱动模式,并且在PCB 布线中采用了无网格的SitusTM拓扑逻辑自动布线功能;同时,将完整的CAM输出功能的编辑结合在一起。

Altium Designer 6.0 是两年之内的第六次更新,极大地增强了对高密板设计的支持,可用于高速数字信号设计,提供大量新功能和改进,改善了对复杂多层板卡的管理和导航,可将器件放置在PCB板的正反两面,处理高密度封装技术,如高密度引脚数量的球型网格阵列(BGAs)。

Altium Designer 6.0中的Board Insight?系统把设计师的鼠标变成了交互式的数据挖掘工具。 Board Insight 集成了“警示”显示功能,可毫不费力地浏览和编辑设计中叠放的对象。工程师可以专注于其目前的编辑任务,也可以完全进入目标区域内的任何其他对象,这增加了在密集、多层设计环境中的编辑速度。 Altium Designer 6.0 引入了强大的‘逃逸布线’引擎,尝试将每个定义的焊盘通过布线刚好引到BGA边界,这令对密集BGA类型封装的布线变的非常简单。显著的节省了设计时间,设计师无需手动就可以完成在一大堆焊盘间将线连接这些器件的内部管脚。

Altium Designer 6.0极大减少了带有大量管脚的器件封装在高密度板卡上设计的时间,简化了复杂板卡的设计导航功能,设计师可以有效处理高速差分信号,尤其对大规模可编程器件上的大量LVDS资源。Altium Designer 6.0 充分利用可得到的板卡空间和现代封装技术,以更有效的设计流程和更低的制造成本缩短上市时间。

目录

1 安装说明及如何激活并申请添加license

2 在Altium Designer中进行原理图和PCB的设计

3在Altium Designer中进行PCB的完备的CAM输出。

4.1 在Altium Designer中进行混合信号仿真

4.2 在Altium Designer中进行信号完整性分析

5在Altium Designer中进行包含FPGA设计和仿真。

6在Altium Designer中进行包含FPGA设计并下载到NanoBoard板进行调试。7在Altium Designer中进行包含FPGA的原理图和PCB设计

8 FPGA与原理图和PCB双向优化同步与更新(自动管脚交换)

9在Altium Designer对PCB进行形状,板层设置及内电层进行分割

10 Altium Designer中多通道功能在原理图及PCB中的使用技巧

11 如何从原理图及PCB中生成网表并且实现网表的加载

12 如何生成一个集成库并且实现对库的管理

13在Altium Designer中进行FPGA设计综合布线及生成下载文件。

14如何在Altium Designer中运用查找编辑集体整批修改功能

15 如何在Altium Designer 6中快速的设计一块PCB

16 如何在Altium Designer 6中快速进行差分对走线

17 如何在Altium Designer 6的PCB中添加各种不同字体大小的汉字字符

18如何在Altium Designer中进行设置

19 在Altium Designer中PCB中进行规则设置及类的使用说明

20 如何把FPGA设计转移到用户板中去以及调试所需要注意的问题

21下载电缆的结构及使用方法和需要注意的问题

1安装说明及如何激活并申请添加license

我们提供的安装光盘可以在每台电脑上安装Altium Designer软件。我们为每个单位或公

司产生一个用户号,我们为每台电脑产生一个激活码,用户在软件的license界面里输入用户号和激活码,软件将自动产生本机的一个二进制信息文件,用这个信息文件通过电子邮件到我们的服务器上换取本机的license.有了本机的license,就可以使用Altium Designer软件了. 具体的操作请参照《操作步骤》文档。注意:每个电脑用一个激活码,每个激活码只能用在一台电脑上,不能再用在别的电脑上。

也可以按照以下步骤操作:1:左键点击左上角DXP\Licensing进入license界面

输入客户号码和激活码,产生本机的信息文件. 保存这个本机信息文件。

通过邮件,把这个本机信息文件发作为附件发到我们的激活邮箱,不久, 我们的邮箱就会回给你邮件,并附带这个本机的License.

最后,等待接收来自Altium公司的License file文档,然后将文档添加到DXP2004平台上。然后,通过在license界面里的Add License file按键,指定添加License file文档。

2 在Altium Designer中进行原理图和PCB的设计

在DXP主页面下(打开软件时缺省设置就出现DXP主页,如果不是,可以通过左键点击View\Home 来打开DXP主页),用鼠标左键点击 File\New\Project\PCB Project,左边的工程资源管理器中就出现了一个名为PCB_Project1.PrjPCB的PCB 工程, 现在可以左键点击

File\Save Project as 来改变项目的保存路径和项目名称。

在项目名称上右键点击,在引处的菜单中选择 Add new to Project\Schematic,这样,在当前的工程当中添加了一个新的原理图文件Sheet.schDoc, 原理图文件上右键点击,在引处的菜单中选择Save as 来改变原理图名称和保存路径。

现在,我们已经在一个PCB 工程中添加了一张空白的原理图了。

在原理图的下方偏右的边框上,左键点击System\Libraries,打开库文件,在库文件的面板里左键点击Libraries可以对当前使用的库文件进行添加,移出和排序。

接下来,要从元器件库中拖出我们需要的元器件,用线把它们了连起来,完成原理图设计。

从元器件库选中需要的元器件,按Place或拖出我们需要的元器件,左键点击Place\Bus 和Plaec\Wire ,用线或总线把它们连起来,并且给所有的元器件加上相应的标号(Designator), 保存完成原理图设计。(要注意的是,如果你还要进行PCB设计,你选的器件就必须要有相应的Footprint封装。如果你还要进行功能仿真,你选的器件就必须要有相应的Simulation模型文件。如果你还要进行信号完整性分析,你选的器件就必须要有相应的Signal integrity 模型文件。)

完成原理图设计后,左键点击原理图名称,在引出的菜单中左键点击 Compile Document

xxx.SCHDOC ,(xxx是用户自己定义的文件名),对这个原理图文件进行编译,如果有什么错误

信息就会自动启动消息窗口(Message),来提示用户那里有什么样的错误。经检查没有错误后,保存原理图。

在项目名称上右键点击,在引处的菜单中选择Add new to Project\PCB,这样,在当前的工程当中添加了一个新的PCB文件PCB1.PcbDoc,在PCB文件上右键点击,在引出的菜单中选择Save as 来改变PCB文件名称和保存路径。

左键点击Project\Complie PCB Project xxx..PrjPCB (xxx是用户自己定义的工程名) 编译整个PCB工程。

左键双击PCB文件在工程资源管理器中的图标,打开这个PCB文件,左键点击Design\Board Shape\ Redefine Board Shape 命令,这时,就会出现绿色的背景,光标上就出现一个十字交叉,移动鼠标,这个十字交叉就会跟着移动,用这个光标在背景上画出一个封闭的曲线,这个曲线内部部分就是定义的PCB板。

左键点击PCB编辑器下方用来选择当前工作层的图标,选中Keep-Out Layer,在当前层上,选择Place\Line命令,在Keep-Out Layer层上画一个边框,作为我们的布局布线的外围约束边框,保存文件和工程。

左键点击Project\Complie PCB Project xxx..PrjPCB (xxx是用户自己定义的工程名) 编译整个PCB工程,保存工程。

在当前的PCB编辑器环境下,左键点击Design\Import Changes From xxx..PrjPCB, 会自动跳出来Engineering Change Order 对话框,列出了对PCB文件加载网表的一些具体操作。添加的有:Componet Class(器件类),Components(器件),Nets(网络连接), Rooms (空间)。

器件类是以每张原理子图划分为一个器件类,并且为器件类定义一个空间。

确认没有什么错误就左键依次点击Validate Changes , Execute Changes 两个按键,对话框的右边就会冒出来绿色的图标来表示所执行的加载项目是正常的。点击Close 关闭对话框。

现在,我们已经把网表加载到这个PCB文件中了。

在当前的PCB编辑器环境下,连续按下键盘上的PgDn(下页) 按键,缩小PCB画面,就可以发现,元器件已被加载到当前的PCB文件中,并且每个元器件类中的器件自动放在一个空间中,移动这个空间,把这个空间中的器件一起移动PCB板上,放到合适的位置,这个空间的大小可以修改,也可以删除这个空间。

接下来,我们逐一对器件位置进行调整。我们可以采取用鼠标左键在器件上按住不放,移动鼠标来拖动这个器件到合适的位置。

左键点击Place\ Interactive Routing ,这时,光标上就出现一个十字交叉,移动鼠标,这个十字交叉就会跟着移动。这时,左键点击某个管脚,直线移动鼠标,就可以布出线来,连续左键点击,就可布出拐弯的曲线来,直到另一个管脚,双击左键,完成一条网络布线。

接下来,我们可以对继续对器件进行布局布线。完成PCB设计。

在当前的PCB编辑器环境下,左键点击Tools\Design Rule Checker,在冒出来的对话框上左键点击 Run Design Rule Check 按键,可以输出一个当前文件的违反规则报告,详细列出在那个位置违反了那个规则。

3在Altium Designer中进行PCB的CAM输出

首先,我们可以输出的gerber文件,操作如下:

1:画好PCB后,在PCB 的文件环境中,左键点击File\Fabrication Outputs\Gerber Files,进入Gerber setup 界面,如下图所示。

在General 里面,Unit选择Inches,Format选择2:5 ,尺寸精度比较高,当然,也要和加工厂协商确定精度。

在Layers里面,选中Include unconnected mid-layer pads,在Plot Layers 下拉菜单里面选择Used On,要检查一下,不要丢掉层;在Mirror Layers 下拉菜单里面选择 All Off ,右边的结构层全不选上。

在Apertures里面,选中Em bedded apertures(RS274X) (在方格里打勾)

在Advanced里面,在Leading/Trailing Zeroes 区域,选中Suppress leading zeroes(这个选项可以和加工厂商量的)

左键点击OK按键,进行第一次输出。

2:在PCB 的文件环境中,再次进入Gerber setup 界面,如下图所示

在Layers里面,在左边的Plot/Mirror Layers 全不选中,Include unconnected mid-layer pads 也不选中, 选中有关板子外框的机械层。

在Drill Drawing 里面,选择你要导出的层对。一般选择plot all used layer pairs Mirror plots 不用选中,如下图所示。

(Drill DrawingPlots和Drill Guide Plots两个区里面设置要一致,)

左键点击OK按键,进行第二次输出。

3:在PCB 的文件环境中,左键点击File\Fabrication Outputs\NC Drill Files,进入NC Drill Setup 界面,如下图所示,

Unit选择Inches,Format选择2:5 ,尺寸精度比较高,当然,也要和加工厂协商确定精度。

在Leading/Trailing Zeroes 区域,选中Suppress leading zeroes(这个选项可以和加工厂商量的),和Gerber Setup 的Advenced里面保持一致,其他默认选项不变。

左键点击OK按键,进行第三次输出。

在冒出来的Import Drill Data 界面里左键点击OK按键,进行输出。

所有的.Cam 文件都不用保存的。

把当前工程目录下的Out 文件夹中的所有的文件进行打包压缩,送到加PCB工厂进行加

工。

4.1 在Altium Designer中进行混合信号功能仿真

说明:

1混合信号仿真是在原理图的环境下进行功能仿真的。如果要对一个原理图进行功能真,原理图中所有的每个器件就必须要有相应的Simulation模型文件,否则不能进行仿真。

2 用仿真模型的器件完成整个原理图设计,设计时与普通原理图的设计方法一致。

3除了要有电源网络和地网络,还要加上激励信号,就可以进行原理图的功能仿真。

4左键点击 View\Toolbars\Mixed Sim, 选中Mixed Sim就会显示一个混合信号功能仿真图标。可以设置,执行混合信号功能仿真和产生Xspice 网表文件。

5可以设置参数扫描的起始值和参数扫描变化的步长。

操作实例:

在DXP主页面下(打开软件时缺省设置就出现DXP主页,如果不是,可以通过左

键点击View\Home 来打开DXP主页),用鼠标左键点击 File\New\Project\PCB Project,左边的

工程资源管理器中就出现了一个名为PCB_Project1.PrjPCB的PCB 工程, 现在可以左键点击

File\Save Project as 来改变项目的保存路径和项目名称。

在项目名称上右键点击,在引处的菜单中选择Add new to Project\Schematic,这样,在当

前的工程当中添加了一个新的原理图文件Sheet.schDoc, 原理图文件上右键点击,在引处的菜

单中选择Save as 来改变原理图名称和保存路径。

现在,我们已经在一个PCB 工程中添加了一张空白的原理图了。

在原理图的下方偏右的边框上,左键点击System\Libraries,打开库文件,在库文件的

面板里左键点击Libraries可以对当前使用的库文件进行添加,移出和排序。

接下来,要从元器件库中拖出我们需要的元器件,用线把它们了连起来,完成原理图设计。因

为要进行功能仿真,所选的器件就必须要有相应的Simulation模型文件。

从元器件库选中需要的元器件,按Place或拖出我们需要的元器件,左键点击Place\Bus 和Plaec\Wire ,用线或总线把它们连起来,并且给所有的元器件加上相应的标号(Designator), 保存完成原理图设计。

完成原理图设计后,左键点击原理图名称,在引出的菜单中左键点击 Compile Document xxx.SCHDOC ,(xxx是用户自己定义的文件名),对这个原理图文件进行编译,如果有什么错误信息就会自动启动消息窗口(Message),来提示用户那里有什么样的错误。经检查没有错误后,保存原理图。

在当前的原理图环境中,左键点击Design\ Simulate\Mixed Sim 则引出一个Analyses Setup 对话框,在左边,在Enable下面方格中打勾要仿真的种类。

在General setup 选择要观察的信号(图1),在每种仿真里面进行参数设置(图2)。左键点击Analyses Setup 对话框的OK按键,启动功能仿真。这时软件就会自动执行仿真,并将每种仿真结果分别以不同的波形的方式显示出来(图3)。

图1

图2

图3

4.2 在Altium Designer中进行信号完整性分析

在DXP设计环境下,您既可以在原理图又可以在PCB编辑器内实现信号完整性分析,并且能以波形的方式在图形界面下给出反射和串扰的分析结果。

Altium Designer 具有布局前和布局后信号完整性分析功能,采用成熟的传输线计算方法,以及I/O缓冲宏模型进行仿真。信号完整性分析器能够产生准确的仿真结果。

布局前的信号完整性分析允许用户在原理图环境下,对电路潜在的信号完整性问

题进行分析。

更全面的信号完整性分析是在PCB环境下完成的,它不仅能对反射和串扰以图形的方式进行分析,而且还能利用规则检查发现信号完整性问题,Altium Designer 能提供一些有效的终端选项,来帮助您选择最好的解决方案。

下面介绍如何使用Altium Designer进行信号完整性分析:

不论是在PCB或是在原理图环境下,进行信号完整性分析,设计文件必须在工程当中,如果设计文件是作为Free Document出现的,则不能运行信号完整性分析。

本文主要介绍在PCB编辑环境下进行信号完整性分析。

为了得到精确的结果,在运行信号完整性分析之前需要完成以下步骤:

1、电路中需要至少一块集成电路,因为集成电路的管脚可以作为激励源输出到被分析

的网络上。像电阻、电容、电感等被动元件,如果没有源的驱动,是无法给出仿真结果的。

2、针对每个元件的信号完整性模型必须正确。

3、在规则中必须设定电源网络和地网络,具体操作见本文。

4、设定激励源。

5、用于PCB的层堆栈必须设置正确,电源平面必须连续,分割电源平面将无法得到正

确分析结果,另外,要正确设置所有层的厚度。

实例演示:

一、在Altium Designer设计环境下,选择File\Open Project,选择安装目录下

Altium2004\Examples\Reference Design\4 Port Serial Interface\4 Port Serial Interface.Prjpcb,进入PCB编辑环境,如下图.

选择Design/Layer Stack Manager…,配置好相应的层后,选择Impedance Calculation…,配置板材的相应参数如下图3所示,本例中为缺省值。

选择Design/Rules选项,在Signal Integrity一栏设置相应的参数,如下图4所示。首先设置Signal Stimulus(信号激励),右键点击Signal Stimulus,选择New rule,在新出现的Signal Stimulus界面下设置相应的参数,本例为缺省值。

接下来设置电源和地网络,右键点击Supply Net,选择New Rule,在新出现的Supplynets界面下,将GND网络的Voltage设置为0如图5所示,按相同方法再添加Rule,将VCC 网络的 Voltage设置为5。其余的参数按实际需要进行设置。最后点击OK推出。

相关文档
相关文档 最新文档