文档库 最新最全的文档下载
当前位置:文档库 › 8.18 电子琴程序设计与仿真 修改

8.18 电子琴程序设计与仿真 修改

8.18 电子琴程序设计与仿真 修改
8.18 电子琴程序设计与仿真 修改

8.18.4程序设计与仿真

电子琴VHDL程序包含有:顶层程序、音阶发生器程序、数控分频模块程序和自动演奏模块程序。

1.顶层程序与仿真

(1)顶层VHDL程序

--文件名:top.vhd

--功能:顶层文件

--最后修改日期:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity top is

Port ( clk32MHz :in std_logic; --32MHz系统时钟

handTOauto : in std_logic; --键盘输入/自动演奏

code1 :out std_logic_vector(6 downto 0); --音符显示信号

index1 :in std_logic_vector(7 downto 0); --键盘输入信号

high1 :out std_logic; --高低音节信号

spkout :out std_logic); --音频信号

end top;

architecture Behavioral of top is

component automusic

Port ( clk :in std_logic;

Auto: in std_logic;

index2:in std_logic_vector(7 downto 0);

index0 : out std_logic_vector(7 downto 0));

end component;

component tone

Port ( index : in std_logic_vector(7 downto 0);

code : out std_logic_vector(6 downto 0);

high : out std_logic;

tone0 : out integer range 0 to 2047);

end component;

component speaker

Port ( clk1 : in std_logic;

tone1 : in integer range 0 to 2047;

spks : out std_logic);

end component;

signal tone2: integer range 0 to 2047;

signal indx:std_logic_vector(7 downto 0);

begin

u0:automusic port map(clk=>clk32MHZ,index2=>index1,index0=>indx,Auto=>handtoAuto); u1: tone port map(index=>indx,tone0=>tone2,code=>code1,high=>high1);

u2: speaker port map(clk1=>clk32MHZ,tone1=>tone2,spks=>spkout);

end Behavioral;

(2)仿真

顶层文件仿真图如图8.18.2所示。

图8.18.2 顶层文件仿真图

2. 音阶发生器程序与仿真

(1)音阶发生器VHDL程序

--文件名:tone.vhd。

--功能:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity tone is

Port ( index : in std_logic_vector(7 downto 0); --音符输入信号

code : out std_logic_vector(6 downto 0); --音符显示信号

high : out std_logic; --高低音显示信号

tone0 : out integer range 0 to 2047); --音符的分频系数

end tone;

architecture Behavioral of tone is

begin

search :process(index) --此进程完成音符到音符的分频系数译码,音符的显示,高低音阶begin

case index is

when "00000001" => tone0<=773;code<="1001111";high<='1';

when "00000010"=> tone0<=912;code<="0010010";high<='1';

when "00000100" => tone0<=1036;code<="0000110";high<='1';

when "00001000" => tone0<=1116;code<="1001100";high<='1';

when "00010000" => tone0<=1197;code<="0100100";high<='1';

when "00100000" => tone0<=1290;code<="0100000";high<='0';

when "01000000" => tone0<=1372;code<="0001111";high<='0';

when "10000000" => tone0<=1410;code<="0000000";high<='0';

when others => tone0<=2047;code<="0000001";high<='0';

end case;

end process;

end Behavioral;

(2)音阶发生器程序仿真

音阶发生器程序仿真图如图8.18.3所示。

图8.18.3 音阶发生器仿真图

3. 数控分频模块程序与仿真

(1)数控分频模块VHDL程序

--文件名:speaker.vhd。

--功能:实现数控分频。

--最后修改日期:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity speaker is

Port ( clk1 : in std_logic; --系统时钟

tone1 : in integer range 0 to 30624; --音符分频系数

spks : out std_logic); --驱动扬声器的音频信号

end speaker;

architecture Behavioral of speaker is

signal preclk,fullspks:std_logic;

begin

pulse1:process(clk1) --此进程对系统时钟进行4分频variable count:integer range 0 to 8;

begin

if clk1'event and clk1='1' then count:=count+1;

if count=2 then preclk<='1';

elsif count=4 then preclk<='0';count:=0;

end if;

end if;

end process pulse1;

genspks:process(preclk,tone1)

--此进程按照tone1输入的分频系数对8MHz的脉冲再次分频,得到所需要的音符频率variable count11:integer range 0 to 30624;

begin

if preclk'event and preclk='1' then

if count11

else count11:=0;fullspks<='0';

end if;

end if;

end process;

delaysps:process(fullspks) --此进程对fullspks进行2分频variable count2 :std_logic:='0';

begin

if fullspks'event and fullspks='1' then count2:=not count2;

if count2='1' then spks<='1';

else spks<='0';

end if;

end if;

end process;

end Behavioral;

(2)数控分频模块程序仿真

数控分频模块程序仿真图如图8.18.4所示。

图8.18.4 数控分频模块仿真图

4. 自动演奏模块程序与仿真

(1)自动演奏模块VHDL程序

--文件名:automusic.vhd

--功能:实现自动演奏功能。

--最后修改日期:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity automusic is

Port ( clk,Auto : in std_logic; --系统时钟;键盘输入/自动演奏index2 : in std_logic_vector(7 downto 0); --键盘输入信号

index0 : out std_logic_vector(7 downto 0)); --音符信号输出

end automusic;

architecture Behavioral of automusic is

signal count0:integer range 0 to 31;--change

signal clk2:std_logic;

begin

pulse0:process(clk,Auto) --此进程完成对系统时钟8M的分频,得到4Hz的信号clk2 variable count:integer range 0 to 8000000;

begin

if Auto='1' then count:=0;clk2<='0';

elsif clk'event and clk='1' then count:=count+1;

if count=4000000(4)then clk2<='1';

elsif count=8000000 (8)then clk2<='0';count:=0;

end if;

end if;

end process;

music:process(clk2) --此进程完成自动演奏部分曲的地址累加begin

if clk2'event and clk2='1' then

if count0=31 then count0<=0;

else count0<=count0+1;

end if;

end if;

end process;

com1:process(count0,Auto,index2)

begin

if Auto='0' then

case count0 is --此case语句:存储自动演奏部分的曲

when 0 => index0<="00000100"; --3

when 1 => index0<="00000100"; --3

when 2 => index0<="00000100"; --3

when 3 => index0<="00000100"; --3

when 4 => index0<="00010000"; --5

when 5 => index0<="00010000"; --5

when 6 => index0<="00010000"; --5

when 7 => index0<="00100000"; --6

when 8 => index0<="10000000"; --8

when 9 => index0<="10000000"; --8

when 10 =>index0<="10000000"; --8

when 11=> index0<="00000100"; --3

when 12=> index0<="00000010"; --2

when 13=> index0<="00000010"; --2

when 14=> index0<="00000001"; --1

when 15=> index0<="00000001"; --1

when 16=> index0<="00010000"; --5

when 17=> index0<="00010000"; --5

when 18=> index0<="00001000"; --4

when 19=> index0<="00001000"; --4

when 20=> index0<="00001000"; --4

when 21=> index0<="00000100"; --3

when 22=> index0<="00000010"; --2

when 23=> index0<="00000010"; --2

when 24=> index0<="00010000"; --5

when 25=> index0<="00010000"; --5

when 26=> index0<="00001000"; --4

when 27=> index0<="00001000"; --4

when 28=> index0<="00000100"; --3

when 29=> index0<="00000100"; --3

when 30=> index0<="00000010"; --2

when 31=> index0<="00000010"; --2

when others => null;

end case;

else index0<=index2; --键盘输入音符信号输出

end if;

end process;

end Behavioral;

(2)自动演奏模块程序仿真

自动演奏模块仿真图如图8.17.5所示。

图8.18.5 自动演奏模块仿真图

(注:由于输入频率太高,实验条件所限,如按源程序仿真将看不到输出波形,因此将原脉冲的分频点4000000和8000000改为4和8,得到如图的仿真结果,在实际烧制芯片中不作此处理。)

multisim仿真教程

Multisim软件简介 二极管电路 基本功放 差分放大器电路 负反馈放大器 集成运算放大器信号运算处理电路互补对称(OCL)功率放大器 信号产生与转换电路 可调三端集成直流稳压电源电路13.1 Multisim用户界面和基本操作在此处插入图片说明 13.1.1 Multisim用户界面

在许多EDA仿真软件中,Multisim软件具有友好的界面,强大的功能,易于学习和使用,受到电气设计和开发人员的青睐。Multisim是一种虚拟仿真软件,用于通过软件方法对电子元器件进行虚拟设计和电路测试。 Multisim来自交互式图像技术(IIT)的基于Windows的仿真工具,以前称为EWB。 1988年,IIT公司推出了用于电子电路仿真和设计的EDA工具软件,电子工作台(EWB),它以其直观的界面,便捷的操作,强大的分析功能以及易于学习和使用而迅速普及和使用。 IIT在1996年推出了EWB5.0版本。ewb5之后。在X版本和EWB6.0版本中,IIT 将EWB更改为Multisim(多功能模拟软件)。 IIT被美国国家仪器公司Ni收购后,其软件更名为Ni Multisim。第9版之后,Multisim 经历了多个版本的升级,包括Multisim2001,Multisim7,Multisim8,Multisim9,Multisim10等。增加了单片机和LabVIEW虚拟仪器的仿真和应用。

下面以Multisim10为例介绍其基本操作。图13.1-1显示了Multisim10的用户界面,包括菜单栏,标准工具栏,主工具栏,虚拟仪器工具栏,组件工具栏,仿真按钮,状态栏,电路图编辑区域等。 图13.1-1 Multisim10用户界面 菜单栏类似于Windows应用程序,如图13.1-2所示。 图13.1-2 Multisim菜单栏 其中,选项菜单下的全局首选项和工作表属性可用于个性化界面设置。Multisim10提供了两组电气元件符号标准: ANSI:美国国家标准协会,美国标准,默认为标准,本章采用默认设置; 丁:德国国家标准协会,欧洲标准,与中国符号标准一致。 工具栏是标准的Windows应用程序样式。 标准工具栏: 查看工具栏:

简易电子琴课程设计报告

摘要 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器,它在音奏中已成为不可缺少的一部分。本文主要介绍运用555定时器制作简易电子琴的设计方法。该方法利用555定时器构成多谐振荡器,通过按键控制不同的RC组合应用多谐振荡器产生不同频率八个基本音阶的脉冲信号波,然后连到扬声器上,即可发出八音阶的音乐。在该设计中,利用了555定时器构成的多谐振荡器产生各音阶不同频率的脉冲,不仅仅使其频率调节更加方便,而且发出的声音稳定、饱满。 前言 (1) 第一章设计内容及要求 (2) 1.1 设计的基本原理 (2) 1.2 设计要求 (2) 第二章系统组成及工作原理 (3) 2.1 系统组成 (3) 2.1.1 按键模块 (3) 2.1.2音调发生模块 (3) 2.1.3音响模块 (4) 2.2 工作原理 (4) 2.2.1 NE555多谐振荡器 (5) 2.2.2 LM386集成功率放大器 (7) 第三章方案比较 (8) 3.1 方案一 (8) 3.2 方案二 (9) 3.3方案三 (10) 3.4方案分析与比较 (11) 第四章参数计算、器件选择 (12) 4.1 参数计算 (12) 4.2 器件选择 (12) 第五章系统调试及测试结果分析 (14) 5.1 系统调试 (18) 关键词:简易电子琴,555定时器,多谐振荡器,八个基本音阶 目录

5.2 硬件调试···················································19 2 5.3 测试结果与分析 (19) 前言 随着当代科学设计的发展,电子产品在人们的日常生活中占据着越来越多重要的地位。电子琴作为其中的一个典型代表,引领着许多孩子进入音乐的殿堂。因此,我们选择了简易电子琴这个题目来制作,因为它不仅能过提高实际动手能力,还与实际生活有着紧密的联系。 模拟电子技术基本教程是一门实践性很强的课程,而此次课程设计依据的理论基础是模拟电子技术基本教程,其主要目的是通过本课程的培养,启发学生的创造性思维,进一步探究书本知识。本课程设计是设计出一个电子产品,先焊接好,再进行检验。 在电子课程设计的过程中,系统的概念十分重要,熟悉从系统的层次分析问题、解决问题的方式。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法以外、要特别注重使用“电路拼装”的方法。课程设计的一般步骤如下:(1)、选择一个课题;(2)、查阅有关资料;(3)、进行可行论证;(4)、通过设计方案的比较,定出最优的设计方案;(5)、分解为多个模块;(6)、分别设计各个功能模块电路,并完成调试;(7)、组装成完整的数字系统;(8)、编写设计、安装、调试报告。 1 第一章设计原理及要求 1.1 设计的原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 5.4 误差分析 (19) 实验小结及心得体会 (20) 结论······························································21 参考 文献·························································22 附录一····························································23 附录二···························································· 24 3

基于单片机的简易电子琴设计课程设计

基于单片机的简易电子琴设计课程设计

湖南文理学院 课程设计报告 课程名称:单片机课程设计 专业班级:自动化10102班17号学生姓名:肖葵 指导教师:王南兰 完成时间:2013年 6 月13 日报告成绩: 湖南文理学院制

摘要 随着社会的发展进步,音乐逐渐成为人们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论文设计一个基于单片机的简易电子琴。人们对于电子琴如何实现其功能,如音色选择、声音强弱控制、节拍器、自动放音功能等等也很好奇。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主控模块上设有16个按键和扬声器。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 关键词:AT89S51;音色节拍器;电子琴

ABSTRACT With the development of our society, music has become an important part of life. There’s a saying goes that people who likes music cannot be an evil. During our life, we often enjoy all kinds of music in the world to baptize our spirits. This thesis has designed a simple microcontroller-based electronic key board. We are curious about the foundation of electronic keyboard, such as the choice of timber, the control of volume, the metrononme and automatic playback. The keyboard is a product of modern electronic technology combined with music, it is a new type of keyboard instruments. And it plays an important role in modern music. Single chip has a powerful control functions and flexible programming characteristics. It has converged with modern people's lives, become an irreplaceable part. The main content is AT89S51 control of the core components, Design of an electronic organ, single chip as a host to the core, with the keyboard, speakers and other core modules main control module, in the main control module has 16 keys and speakers. Stability of the system, its advantages are simple hardware circuits, software functions, control system reliability, high cost performance and have certain practical and reference value. Key words : single chip MCU keyboard speaker electronic organ

简易电子琴课程设计

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系 统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

Matlab 编程方法及仿真实验

《现代机械工程基础实验》之机械工程控制基础综合实验报告 姓名 学号 班级 山东建筑大学机电工程学院 2012.06.04~06

第一部分 Matlab 编程方法及仿真实验 实验1. 三维曲面的绘制(略) 实验2. 系统零极点绘制例:求部分分式展开式和)(t g 一个线性定常系统的传递函数是 1 5422 3)(2 3 ++++= s s s s s G (1) 使用MATLAB 建立传递函数,并确定它的极点和零点,写出)(s G 的部分分式展开式并绘制 系统的脉冲响应。 实验结果:零点-0.6667 极点-0.8796 + 1.1414i -0.8796 - 1.1414i -0.2408 实验3. 系统的阶跃响应 例. )(s G 的阶跃响应 对例2中由(1)式给出的传递函数)(s G ,增加一个0=s 处的极点,使用impulse 命令绘制其拉普拉斯反变换式曲线,得到阶跃响应图。将该响应与对)(s G 使用step 命令所得到的响应比较,确定系统的DC 增益。利用初值定理和终值定理来校验结果。 实验结果:DC 增益= 2

实验4. 双输入反馈系统单位阶跃响应 考虑一个如图1所示的反馈系统,它既有参考输入也有干扰输入,其中对象和传感器的传递函数是 )12)(15.0(4)(++=s s s G p ,105.01 )(+=s s H 控制器是一个增益为80,有一个在3-=s 处的零点,极点/零点比15=α超前控制器。推导 两个独立的MATLAB 模型,其中一个模型的输入为)(s R ,另一个输入为)(s D 。使用这些模型确定闭环零点和极点,并在同一坐标系内绘制它们的阶跃响应。 D (s ) 图1 具有参考和干扰输入的反馈系统方框图 实验结果: 参考输入的CL 极点:-49.3658 -7.3336 + 7.9786i -7.3336 - 7.9786i -3.4670 参考输入的DC 增益:320 干扰输入的CL 零点:-45 干扰输入的CL 极点:-49.3658 -7.3336 + 7.9786i -7.3336 - 7.9786i -3.4670 干扰输入的DC 增益:4 -20

最详细最好的Multisim仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

简易电子琴的设计与仿真.

proteus 7的使用及调试 定时器、中断、键盘接口数字电路、模拟电路的相关知识2、实现及编程 2.1程序框图 ) 2.2电路原理图

2.3程序内容 7个音调的产生方法 按k2让音调逆向输出 如何按k8+k2放出音乐和如何 让音乐停止 2.4汇编源程序 BUZZ EQU P2 BUZZ1 EQU P1.7 ORG OOOOH LJMP MAIN ORG 000BH LJMP INT_T0 ORG 001BH LJMP TIME1 ORG 8000H MAIN: MOV SP,#90H MOV BUZZ,#0FFH MOV TMOD,#11H SETB ET0 SETB ET1 SETB EA CLR TR0 START: MOV R0, BUZZ CJNE R0,#0FFH,KEY1 CLR TR0 SJMP START KEY1: CJNE R0,#0FEH,KEY2 MOV 30H,#0FBH MOV 31H,#0E9H LJMP SET_TIMER KEY2: CJNE R0,#0FDH,KEY3 MOV 30H,#0FCH MOV 31H,#5CH LJMP SET_TIMER KEY3: CJNE R0,#0FBH,KEY4 MOV 30H,#0FCH MOV 31H,#0C1H LJMP SET_TIMER KEY4: CJNE R0,#0F7H,KEY5 MOV 30H,#0FCH MOV 31H,#0EFH LJMP SET_TIMER KEY5: CJNE R0,#0EFH,KEY6 MOV 30H,#0FDH MOV 31H,#045H LJMP SET_TIMER KEY6: 囉* 祖 1IU ■□■1l ? ■CkUJUfiia ▼"■VZ AfiJkAAl ■E * F Wi -54 ■U A JM "bn iunTb —- P XF

HLA仿真程序设计

HLA仿真程序设计 1 计算机仿真技术是以计算机为工具,以相似原理、信息技术以及各种相关应用领域的基本理论和技术为基础,根据系统实验的目的,建立(实际或设想)系统的模型,并在不同的条件下,对模型进行动态运行(实验)的一门综合性技术。 当前,计算机技术应经成为系统能特别是大型系统分析、研究、测试、评估、研制和技术训练的重要手段,并已广泛应用于国防、制造、能源、交通、农业、医疗、教育、经济、天气预报等各个行业的重要领域。 从仿真硬件的角度讲,计算机仿真大致经历了三个主要发展阶段,即:模拟计算机仿真。模/数混合计算机仿真和数字计算机仿真。从仿真软件的角度讲,计算机仿真可分为五个相互交叉的发展阶段:仿真软件包和仿真语言、一体化仿真环境、智能化仿真环境、面向对象的仿真、分布式仿真。 2 分布交互仿真是指采用协调一致的结构、标准、协议和数据库,通过局域网和广域网,将分散在各地的仿真设备互联,形成可参与的综合性仿真环境。 计算机仿真通常可分为三种类型。及虚拟仿真、构造仿真和真实仿真。虚拟仿真是指作战人员操作仿真的武器系统进行的作战仿真,及人在回路中的仿真。构造仿真是指仿真中的所有实体均由计算机模型产生,及由仿真的人操作仿真的武器系统进行的仿真。真实仿真是由真实的战斗人员使用真实的武器系统和保障系统,在尽可能真实的作战环境中进行的作战演习。分布交互仿真既可以是某种单一类型的仿真,也可以是几种类型的综合。 3 HLA规则 HLA规则已成为IEEE M&S的正式标准,标准号为IEEE 1516。现行的规则共有十条,其中前五条规定了联盟必须满足的要求,后五条规定了盟员必须满足的要求。 联盟规则: (1) 每个联盟必须有一个联盟对象模型,该联盟对象模型的格式应与HLA OMT兼容。

课程设计简易电子琴

课程设计 课程名称 题目名称 专业班级 学生姓名 学号 指导教师 二○--年月日

目录 1 设计任务书 (1) 2 电路总体设计 (2) 3 各部分电路设计 (3) 3.1 R、C选频网络电路的设计 (3) 3.2 波形产生电路 (4) 4 Multisim仿真与数据分析 (7) 5总结 (9) 6致谢 (10) 参考文献 (11) 附录A 总原理图 (12) 附录B 系统元器件清单 (13)

1 设计任务书 设计目的 (1)熟悉数字电子技术课程设计的方法和思想 (2)熟悉仿真软件Multisim使用 (3)进一步理解555多谐振荡器在设计过程中的使用 (4)熟悉555多谐振荡器的应用 (5)熟悉简易电子琴的设计方法和过程 设计思路 (1)先查询简易电子琴的七个音(各包括低、中、高三个音)的频率 (2)再设置充电电阻和固定电容,根据公式算出每个音阶对应电阻的阻值,从而确定R C选频网络电路。 (3)用多谐振荡器产生矩形脉冲驱动蜂鸣器发出不同声音 (4)用仿真软件中的虚拟仪器示波器和频率计测量每一个音阶的波形和频率。

2 电路总体设计 本电路主要是由RC选频网络电路和555定时器构成的多谐振荡器组成。因为设计要实现电子琴dou、ruai、mi、fa、suo、la、si七个音的发声。而每一个音都对应一个频率和电阻,所以通过设计不同的电阻和电容组成R、C选频电路。每一个频率经过多谐振荡器都会产生一个矩形脉冲。因为是在仿真软件中无法实现电子琴发声,采用示波器测量矩形波形和用频率计测量每一个选频网络中对应的每一个频率,再和实际数据相比较来判定发出的声音是否准确。该电路实现了dou、ruai、mi、fa、suo、la、si(各包括低、中、高三个音)的发声仿真。实现了简易电子琴的设计。电路整体框图如下: 图2.1 基本方框图 该电路具有原理简单、容易制作、调试方便等特点。能实现二十一种频率的方波且能驱动喇叭C调的二十一个音阶。其中,稳压电源可以由电脑提供。

常见光学仿真设计软件

1.APSS.v 2.1.Winall.Cracked 光子学设计软件,可用于光材料、器件、波导和光路等的设计 2.ASAP.v7.14/7.5/8.0.Winall.cracked/Full 世界各地的光学工程师都公认ASAPTM(Advanced Systems Analysis Program,高级系统分析程序)为光学系统定量分析的业界标准。 注:另附9张光源库 3.Pics3d.v200 4.1.28.winall.cracked 电子.光学激光2D/3D有限元分析及模形化装置软件 https://www.wendangku.net/doc/0517772914.html,stip.v2004.1.28.winall.cracked 半导体激光装置2D模拟软件 5.Apsys.2D/3D.v2004.1.28.winall.cracked 激光二极管3D模拟器 6.PROCOM.v2004.1.2.winall.cracked 化合物半导体模拟软件 7.Zemax.v2003.winall.cracked/EE ZEMAX 是一套综合性的光学设计仿真软件,它将实际光学系统的设计概念、优化、分析、公差以及报表集成在一起。 8.ZEBASE Zemax镜头数据库 9.OSLO.v6.24.winall.licensed/Premium OSLO 是一套处理光学系统的布局和优化的代表性光学设计软件。最主要的,它是用来决定光学系统中最佳的组件大小和外型,例如照相机、客户产品、通讯系统、军事 /外太空应用以及科学仪器等。除此之外,它也常用于仿真光学系统性能以及发展出一套对光学设计、测试和制造的专门软件工具。 10.TracePro.v324.winall.licensed/Expert TracePro 是一套能进行常规光学分析、设计照明系统、分析辐射度和亮度的软件。它是第一套以符合工业标准的ACIS(固体模型绘图软件)为核心所发展出来的光学软件,是一个结合真实固体模型、强大光学分析功能、信息转换能力强及易上手的使用界面的仿真软件,它可将真实立体模型及光学分析紧紧结合起来,其绘图界面非常地简单易学。 11.Lensview.UPS.winall.cracked LensVIEW 为搜集在美国以及日本专利局申请有案的光学设计的数据库,囊括超过 18,000个多样化的光学设计实例,支持Zemax,OSLO,Code V等光学设计软件。 12.Code V.v940.winall.licensed CODE V是美国著名的Optical Research Associates公司研制的具有国际领先水平的大型光学工程软件。 13.LightTools.v4.0/sr1.winall.cracked LightTools是一个全新的具有光学精度的交互式三维实体建模软件体系,提供最现代化的手段直接描述光学系统中

简易电子琴课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采 用运算放大器构成振荡电路,用集成功放电路输出。已知八个基本音阶在C调时所对 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原 理并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

(完整版)基于51单片机简易电子琴的设计

电子琴的设计 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。一. 任务要求与总体设计方案 1.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 1.2 设计方案 1.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率

模电课程设计简易电子琴的设计

1. 模电课设概述 现在的电子琴一般使用PCM或AWM采样音源。所谓采样就是录制乐器的声音,将其数字化后存入ROM里,然后按下键时CPU回放该音。甚至有一些高级编曲键盘可以使用外置采样(比如Tyros 3的硬盘音色)。现代电子琴并非“模仿”乐器音色。它使用的就是真实乐器音色。当然,现在力度触感在电子琴里是必备的。而且现代电子琴还加上了老式电子琴的滤波器,振荡器,包络线控制来制造和编辑音色。甚至也带上了老式电子琴的FM 合成机构。 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出音调,从而达到电子琴固有的基本功能。 2. Proteus软件简介 Proteus软件是由英国LabCenter Electronics公司开发的EDA工具软件,由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑器,它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus软件的模拟仿真直接兼容厂商的SPICE模型,采用了扩充的SPICE3F5电路仿真模型,能够记录基于图表的频率特性、直流电的传输特性、参数的扫描、噪声的分析、傅里叶分析等,具有超过8000种的电路仿真模型。 Proteus软件支持许多通用的微控制器,如PIC、AVR、HC11以及8051;包含强大的调试工具,可对寄存器、存储器实时监测;具有断点调试功能及单步调试功能;具有对显示器、按钮、键盘等外设进行交互可视化仿真的功能。此外,Proteus可对IAR C-SPY、KEIL 等开发工具的源程序进行调试。 此外,在Proteus中配置了各种虚拟仪器,如示波器、逻辑分析仪、频率计,便于测量和记录仿真的波形、数据。 3. 简易电子琴基本原理 3.1 音乐产生原理 由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我

8086程序设计仿真练习题

8086程序设计仿真练习题 1、数组STRAING中存放着多个8位有符号数,以“$”结束,要求分成正数组和负 数组分别存放,并统计两个数组的个数,分别存于正、负数组的第一个字节。DATA SEGMENT DA1 DB 25H,0BDH,7FH,94H,65H,88H,12H,58H,99H,0A5H,99H,23H,0FBH,0AAH,098H,66H,'$' DA2 DB LENGTH DA1 DUP(?) ;正数 DA3 DB LENGTH DA1 DUP(?) ;负数 DATA ENDS CODE SEGMENT ASSUME CS:CODE, DS: DATA,ES:DATA START: MOV AX , DATA MOV DS, AX MOV ES, AX LEA SI, DA1 LEA DI, DA2 INC DI LEA BX, DA3 INC BX XOR DX,DX LOP1: LODSB CMP AL, '$' JZ NUM TEST AL,80H JNZ LOP2 STOSB INC DL JMP LOP1 LOP2: XCHG BX, DI STOSB INC DH XCHG BX,DI JMP LOP1 NUM: LEA DI, DA2 MOV [DI], DL LEA BX, DA3 MOV [BX], DH HLT CODE ENDS END START 2、将数据块DAT1中的20个单字节数,复制到DAT2中,并从中检索与2EH 相同的数,将此单元的数换成空格符。如有多个与2EH相同的数,要求统计出个数,存在寄存器DL中。

DATA SEGMENT DAT1 DB 25H,0BDH,7FH,94H,2EH,65H,88H,12H,2EH,99H,0A5H,98H,2EH,23H,0FBH,0AAH,96H,66H,0CCH,08H DAT2 DB 20 DUP(0) DATA ENDS CODE SEGMENT ASSUME CS:CODE, DS: DATA,ES:DATA START: MOV AX, DATA MOV DS, AX MOV ES, AX MOV CX, 20 MOV DX, 0 MOV BX,0 LEA SI, DAT1 LEA DI, DAT2 CLD LP1: LODSB CMP CX, 0 JZ LP2 STOSB DEC CX JMP LP1 LP2: CMP BX,20 JZ OVER CMP DAT2[BX],2EH JZ LP3 INC BX JMP LP2 LP3: MOV DAT2[BX],' ' INC BX INC DL JMP LP2 OVER: HLT CODE ENDS END START 3、将变量VAR1中的16位二进制数取反,并统计原数中1的个数,将个数存在 变量VAR2中。 DATA SEGMENT VAR1 DW 1107H VAR2 DB 1 DUP(0) DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA START: MOV AX,DATA

简易电子琴电路课程设计(模拟电路)

逗你玩 课程设计报告 课程名称:模拟电子技术课程设计 专业班级:电子信息工程(2)班 学生学号: 0705110931 学生姓名:夏柳 所属院部:信息技术学院 指导教师:王雪 20 08 ——20 09 学年第 2 学期

《模拟电子技术》课程设计报告 --------简易电子琴的制作 简易电子琴电路 摘要: 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。当然在这之前对焊点等要事先查阅资料,了解手工焊接技术;查阅有关4100芯片,741芯片的功能等参数,还有测试其芯片是否好坏的电路和方法;同时还要了解RC振荡电路,与其产生振荡的条件跟原理,选择稳幅电路,理解其稳幅的原理;当然还要计算八个音阶的产生的频率,再根据RC振荡电路计算电阻值,以便选择合适的电阻,这些都是课前准备。测试电子琴我们要一步一步的,首先是振荡电路的线路测试,其次选频电路的测试,功放电路的测试,最后再是总体测试,尽量消除噪音,使音质能够很清晰。这样电子琴我们就做好了。 关键图:

电子琴的主干图

第一部分:课前准备 1.1芯片性能指标 1.2手工焊接技术 1.3元件制作工艺 第二部分:设计方案及选定 2.1八个音阶的频率 2.2振荡电路的选择与设计 2.3八个电阻的选择 2.4稳幅方式的选择 2.5功率放大电路的设计 第三部分:简易电子琴电路的检测与误差分析 3.1芯片测试 3.2振荡电路测试 3.3电子琴的测试 第四部分:元器件清单 第五部分:心得体会 第六部分:参考文献

简易电子琴

电子工程学院课外学分设计报告 题目:简易电子琴设计 姓名:学号:35 专业:电子信息工程实验室:开放实验室班级:1211 设计时间:年月日——年月日 评定成绩:审阅教师:

目录 1. 设计任务、目的 (1) 2. 方案设计与论证(或基本原理与论证) (1) 3. 硬软件设计 (1) 4. 实现与测试(或调试) (5) 5.分析与总结 (6)

1. 设计任务、目的 1. 硬件电路设计(制作实物,行列键盘输入,至少21键,扬声器输出) 2. 驱动程序设计:扬声器驱动函数,键盘扫描函数 3. 结合驱动程序设计程序实现如下功能: - 按键发声 - 音乐播放(3首以上 2. 方案设计与论证(或基本原理与论证) 音乐是有由不同的音阶组成的,而不同的音阶又是由不同的频率发出的,那么产生不同的频率,就可以发出不同的音乐了。而利用单片机就可以产生不同的频率的方波,因此选择单片机为为主来设计。通过程序编写实现单片机输出不同的频率,输出的方波信号再通过功放输出声音。同时电子琴加入led用来显示。 本设计的主要工作是程序编写和焊电路板,通过程序让电子琴实现音乐演奏,歌曲播放以及记录已按下的音符,并播放,最后实现led显示。而硬件主要有单片机最小系统,键盘模块,发声模块,还有一个电源模块。 图2.1总体方案图 3. 硬软件设计 3.1硬件电路设计

图3.1硬件电路图 本系统有主控单片机、按键、led显示模块、扬声器模块以及电源组成。 1.单片机最小系统 单片机最小系统由STC89C51芯片、晶振、电容组成。 2.按键设计 按键采用4*6扫描;4根行线接P10-P13,六根列线接P14-P17以及P20,P21口共24个按键,0-20代表音符键,0-6代表低音1,2,3,4,5,6,7;7-13代表中音1,2,3,4,5,6,7; 14-20代表高音1,2,3,4,5,6,7;21号按键表示播放歌曲键,当按下21号键,进入播放歌曲函数,当按下22号键时,播放下一首歌曲,当按下23键时,退出播放返回主程序。而在主程序中时,代表演奏状态,当按下23号键时,进入录音状态,此时有个绿色的指示灯会亮。而进入录音后,再按一次23键,指示灯灭,退出录音状态,返回主程序。 3.Led灯设计 7个绿色的LED代表按键的音符DO,RE,MI...分别接到P0口的各个I端口音符DO 时,一个LED亮,音符MI时,2个LED亮…以此类推。有一个绿色LED指示当前状态,当电子琴处于录音时,LED亮,否则,灭。 4.扬声器模块 扬声器模块由扬声器、三极管和电阻组成。经过三极管的放大作用驱动扬声器发声。 3.2软件设计

单片机C语言程序设计实训100例--基于8051+PROTEUS仿真1

《单片机C语言程序设计实训100例—基于8051+Proteus仿真》案例 令狐采学 第01 篇基础程序设计 01闪烁的LED /* 名称:闪烁的LED 说明:LED按设定的时间间 隔闪烁 */ #include #define uchar unsigned char #define uint unsigned int sbit LED=P1^0; //延时 void DelayMS(uint x) { uchar i; while(x--) {

for(i=0;i<120;i++); } } //主程序 void main() { while(1) { LED=~LED; DelayMS(150); } } 02 从左到右的流水 灯 /* 名称:从左到右的 流水灯 说明:接在P0口的8 个LED从左到右循环依次点亮,产生走马灯效果*/ #include #include #define uchar unsigned char #define uint unsigned int

//延时 void DelayMS(uint x) { uchar i; while(x--) { for(i=0;i<120;i++); } } //主程序 void main() { P0=0xfe; while(1) { P0=_crol_(P0,1); //P0的值向左循环移动 DelayMS(150); } } 03 8只LED左右来回点亮 /* 名称:8只LED左右来回点亮 说明:程序利用循环移位函数_crol_和_cror_形成来回滚动的效果

multisim仿真教程

Multisim电子电路仿真教程: Multisim电子电路仿真教程作者朱彩莲,介绍了一种电子电路仿真软件——Multisim 2001。通过对该软件的学习和使用,读者可以轻松地拥有一个元件设备非常完善的虚拟电子实验室,进而可以完成电子电路的各种实验和设计。 本书介绍了一种电子电路仿真软件——Multisim 2001。通过对该软件的学习和使用,读者可以轻松地拥有一个元件设备非常完善的虚拟电子实验室,进而可以完成电子电路的各种实验和设计。 全书共9章。第l~4章主要介绍Multisim 2001软件的基本功能和操作,主要有Multisim 200l中电路的创建、元件库和元件的使用、虚拟仪器的使用和Multisim基本分析方法;第5~9章主要介绍Mulfisim 200l软件的应用,其中第5~8章分别从电路基础、模拟电子技术、数字电子技术、高频电子技术中选取了若干个典型实验进行:Multisim仿真分析,每个实验给出了实验目的、实验电路、仿真操作步骤和实验结果,第9章是Multisim2001在电子综合设计中的应用实例。 本书可作为高等院校电子技术类课程的软件实验教材,也可作为从事电子电路设计的工程技术人员的参考书。 计算机高效率绿色电源 高速发展的计算机技术带领人类进入了信息社会,同时也促进了电源技术的迅速发展。八十年代,计算机全面采用了开关电源,率先完成计算机电源换代。接着开关电源技术相继进入了电子、电器设备领

域。 计算机技术的发展,提出绿色电脑和绿色电源。绿色电脑泛指对环境无害的个人电脑和相关产品,绿色电源系指与绿色电脑相关的高效省电电源,根据美国环境保护署l992年6月17日“能源之星"计划规定,桌上型个人电脑或相关的外围设备,在睡眠状态下的耗电量若小于30瓦,就符合绿色电脑的要求,提高电源效率是降低电源消耗的根本途径。就目前效率为75%的200瓦开关电源而言,电源自身要消耗50瓦的能源。 通信用高频开关电源 通信业的迅速发展极大的推动了通信电源的发展。高频小型化的开关电源及其技术已成为现代通信供电系统的主流。在通信领域中,通常将整流器称为一次电源,而将直流-直流(DC/DC)变换器称为二次电源。一次电源的作用是将单相或三相交流电网变换成标称值为48V 的直流电源;目前在程控交换机用的一次电源中,传统的相控式稳压电源己被高频开关电源取代,高频开关电源(也称为开关型整流器SMR)通过MOSFET或IGBT的高频工作,开关频率一般控制在50-100kHz范围内,实现高效率和小型化。近几年,开关整流器的功率容量不断扩大,单机容量己从48V/12.5A、48V/20A扩大到48V/200A、48V/400A。 因通信设备中所用集成电路的种类繁多,其电源电压也各不相同,在通信供电系统中采用高功率密度的高频DC-DC隔离电源模块,从中间母线电压(一般为48V直流)变换成所需的各种直流电压,这样可

相关文档