文档库 最新最全的文档下载
当前位置:文档库 › 西安电子科技大学EDA实验报告

西安电子科技大学EDA实验报告

西安电子科技大学EDA实验报告
西安电子科技大学EDA实验报告

EDA大作业及实验报告

实验一:QUARTUS Ⅱ软件使用及组合电路设计仿真

实验目的:

学习QUARTUS Ⅱ软件的使用,掌握软件工程的建立,VHDL源文件的设计和波形仿真等基本内容;

实验内容:

1.四选一多路选择器的设计

首先利用QuartusⅡ完成4选1多路选择器的文本编辑输入(mux41a.vhd)和仿真测试等步骤,给出仿真波形。

步骤:

(1)建立工作库文件夹和编辑设计文件;

(2)创建工程;

(3)编译前设置;

(4)全程编译;

(5)时序仿真;

(6)应用RTL电路图观测器(可选择)

实验程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux41 IS

PORT(

S10:IN STD_LOGIC_VECTOR(1 DOWNTO 0);

A,B,C,D:IN STD_LOGIC;

Q:OUT STD_LOGIC

);

END ENTITY mux41;

ARCHITECTURE bhv OF mux41 IS

BEGIN

PROCESS(A,B,C,D,S10)

BEGIN

IF S10="00" THEN

Q<=A;

ELSIF S10="01" THEN

Q<=B;

ELSIF S10="10" THEN

Q<=C;

ELSE

Q<=D;

END IF;

END PROCESS;

END bhv;

波形仿真如图:

其中,分别设置A,B,C,D四个输入都为10.0ns的方波,其占空比分别为25%,50%,75%,90%以作为四种输入的区分,使能端s10以此输入00(即[0]),01(即[1]),10(即[2]),11(即[3]),可以观察到输出端Q依次输出分别为A,B,C,D。试验成功。

其RTL电路图为:

2.七段译码器程序设计仿真

2.1 原理:7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。例1作为7段BCD码译码器的设计,输出信号LED7S的7位分别接如实验图1数码管的7个段,高位在左,低位在右。例如当LED7S输出为"0010010" 时,数码管的7个段:g、f、e、d、c、b、a分别接0、0、1、0、0、1、0,实验中的数码管为共阳极的,接有低电平的段发亮,于是数码管显示“5”。

实验图1 数码管及其电路

2.2 实验内容:参考后面的七段译码器程序,在QUARTUS II上对以下程序进行编辑、编译、综

合、适配、仿真,给出其所有信号的时序仿真波形。

试验程序如下:

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL ;

ENTITY DecL7S IS

PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ;

LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ) ;

END ;

ARCHITECTURE one OF DecL7S IS

BEGIN

PROCESS( A )

BEGIN

CASE A(3 DOWNTO 0) IS

WHEN "0000" => LED7S <= "1000000" ; -- X“80”->0

WHEN "0001" => LED7S <= "1111001" ; -- X“79”->1

WHEN "0010" => LED7S <= "0100100" ; -- X“24”->2

WHEN "0011" => LED7S <= "0110000" ; -- X“30”->3

WHEN "0100" => LED7S <= "0011001" ; -- X“19”->4

WHEN "0101" => LED7S <= "0010010" ; -- X“12”->5

WHEN "0110" => LED7S <= "0000010" ; -- X“02”->6

WHEN "0111" => LED7S <= "1111000" ; -- X“78”->7

WHEN "1000" => LED7S <= "0000000" ; -- X“00”->8

WHEN "1001" => LED7S <= "0010000" ; -- X“10”->9

WHEN "1010" => LED7S <= "0001000" ; -- X“08”->A

WHEN "1011" => LED7S <= "0000011" ; -- X“03”->B

WHEN "1100" => LED7S <= "1000110" ; -- X“46”->C

WHEN "1101" => LED7S <= "0100001" ; -- X“21”->D

WHEN "1110" => LED7S <= "0000110" ; -- X“06”->E

WHEN "1111" => LED7S <= "0001110" ; -- X“0E”->F

WHEN OTHERS => NULL ;

END CASE ;

END PROCESS ;

END ;

波形仿真如图:

如图,当输入端A依次输入0-15的四位二进制码时,输出端依次输出(0-9及A-F)的数码管所对应的七位二进制数,例如,当输入0000时,输出端输出1000000(即字符@的ASCII 码),显示在数码段上即‘0’。

实验二计数器设计与显示

实验目的:

(1)熟悉利用QUARTUS II中的原理图输入法设计组合电路,掌握层次化设计的方法;

(2)学习计数器设计、多层次设计方法和总线数据输入方式的仿真,并进行电路板下载演示验证。

实验内容:

1.完成计数器设计

设计含有异步清零和计数使能的4位二进制加减可控计数器。

要求:(1)写出设计框图、流程和方法;

(2)利用VHDL设计实现程序;

(3)进行波形仿真验证;

(4)完成设计实验报告:将实验原理、设计过程、编译仿真波形和分析结果写进实验报告。

其设计原理:由三个输入端CLC,CLK,EN控制计数器的输出和计数方式,其中当清零端CLC=’1’时,输出端输出为全零;当CLC=’0’时,正常计数。其中如果出现时钟上升沿,加减控制端EN=’1’时,为加法计数,反之则为减法计数。在程序设计时,在进程中引入信号Q1,在进程中完成标准逻辑位的加减,结束进程之后将其给输出。设计框图如上所示。

实验程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT4 IS

PORT(CLK:IN STD_LOGIC;

EN:IN STD_LOGIC;

CLC:IN STD_LOGIC;

Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)

);

END ENTITY CNT4;

ARCHITECTURE BHV OF CNT4 IS

SIGNAL Q1:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS(CLK)

BEGIN

IF CLC='1' THEN

Q1<=(OTHERS=>'0');

ELSIF (CLK'EVENT AND CLK='1') THEN

IF EN='1' THEN

Q1<=Q1+1;

ELSE

Q1<=Q1-1;

END IF;

END IF;

END PROCESS;

Q<=Q1;

END ARCHITECTURE BHV;

波形仿真结果如图:

如上图所示,当CLC=’1’时,清零;否则,正常计数。EN=’0’时,减法计数,EN=’1’时,加法计数。仿真验证实验设计成功。

2.计数器显示译码设计与下载

用原理图输入法的方式,以前面设计的七段译码器DecL7S和计数器为底层元件,完成“计数器显示译码”的顶层文件设计。

设计原理:为了使数码管的变化能够用肉眼观察,将输入时钟(50MHz)先进行进行50M分频,输出频率为1Hz的时钟。其中分频器采用M=50M计数器的进位输出端来实现,将分频后的时钟信号送入四位二进制加减可控计数器的时钟输入端。

四位二进制加减可控计数器由实验1中提供,其输出作为七段译码器的输入端。

50M分频器程序设计:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT5M IS

PORT(CLK:IN STD_LOGIC;

CLK_OUT:OUT STD_LOGIC

);

END ENTITY CNT5M;

ARCHITECTURE BHV OF CNT5M IS

SIGNAL COUNT:STD_LOGIC_VECTOR(26 DOWNTO 0);

BEGIN

PROCESS

BEGIN

WAIT UNTIL CLK'EVENT AND CLK='1';

IF(COUNT<49999999) THEN

COUNT<=COUNT+1;

CLK_OUT<='0';

ELSE

COUNT<=(OTHERS=>'0');

CLK_OUT<='1';

END IF;

END PROCESS;

END ARCHITECTURE BHV;

修改后加减计数器程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT4 IS

PORT(CLK:IN STD_LOGIC;

UPDOWN:IN STD_LOGIC;

RESET,ENABLE:IN STD_LOGIC;

Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)

);

END ENTITY CNT4;

ARCHITECTURE BHV OF CNT4 IS

SIGNAL Q1:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS(CLK)

BEGIN

IF ENABLE='1' THEN

IF RESET='1' THEN

Q1<=(OTHERS=>'0');

ELSIF (CLK'EVENT AND CLK='1') THEN

IF UPDOWN='1' THEN

Q1<=Q1+1;

ELSE

Q1<=Q1-1;

END IF;

END IF;

END IF;

END PROCESS;

Q<=Q1;

END ARCHITECTURE BHV;

其原理图如下:

其中为了便于观察,我们只仿真未加分频器时的的波形图如下:

其中,ENABLE为使能端,其为1时,电路正常工作。RESET为复位端,当其为1时,输出为全零。UPDOWAN为加减控制端,其为0时,减法计数,为1时,加法计数。

硬件测试:

将编译好的的程序下载到实验板上,其引脚对应如原理图所示。

引脚及开关对应关系如下:

上电后,SW0为低电平,数码管输出为‘0‘,SW0为高电平时,正常计数。将SW1置为高电平时,清零,数码管显示‘0’;只为低电平时,数码管正常显示。SW2为低电平时,减法计数,为高电平时,加法计数。

实验三:大作业设计——循环彩灯控制器

实验任务:设计一个循环彩灯控制器,该控制器可控制10个发光二极管循环点亮、间隔点亮或者闪烁等花型。要求至少设计三种以上花型,并用按键控制花型之间的转换。

实验设计:本实验通过设计一个拥有11个状态的状态机,其11个暂态s0-s10循环链接,将其所代替的二进制码送入led灯,以实现彩灯“流水”的特性。对于每个暂态,都拥有4种二进制码,通过对输入的使能端EN12的判断,决定输出的码值,进而确定显示的彩灯的类型。同时用数码管作为彩灯花型序号的显示装置,当EN12为00时,显示‘1’;01时显示‘2’;10时显示‘3’,11时显示‘4’。为了能搞看清彩灯的变化规律,须先经过50M分频器分频。

程序设计如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY LIUSHUI IS

PORT(

CLK:IN STD_LOGIC;

EN1:IN STD_LOGIC;

EN2:IN STD_LOGIC;

CON:IN STD_LOGIC;

Z:OUT STD_LOGIC_VECTOR(9 DOWNTO 0);

LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)

);

END ENTITY LIUSHUI;

ARCHITECTURE BHV OF LIUSHUI IS

TYPE STATE_TYPE IS(S0,S1,S2,S3,S4,S5,S6,S7,S8,S9);

SIGNAL CURRENT_STATE,NEXT_STATE:STATE_TYPE; SIGNAL EN12:STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN

EN12<=EN1&EN2;

TONGBU:PROCESS

BEGIN

WAIT UNTIL CLK'EVENT AND CLK='1';

CURRENT_STATE<=NEXT_STATE;

END PROCESS;

ZHUANYI:PROCESS(CURRENT_STATE)

BEGIN

NEXT_STATE<=CURRENT_STATE;

CASE CURRENT_STATE IS

WHEN S0=>NEXT_STATE<=S1;

WHEN S1=>NEXT_STATE<=S2;

WHEN S2=>NEXT_STATE<=S3;

WHEN S3=>NEXT_STATE<=S4;

WHEN S4=>NEXT_STATE<=S5;

WHEN S5=>NEXT_STATE<=S6;

WHEN S6=>NEXT_STATE<=S7;

WHEN S7=>NEXT_STATE<=S8;

WHEN S8=>NEXT_STATE<=S9;

WHEN S9=>NEXT_STATE<=S0;

END CASE;

END PROCESS;

SHUCHU:PROCESS(CURRENT_STATE,EN12)

BEGIN

IF CON='1' THEN

Z<=(OTHERS=>'0');

CASE EN12(1 DOWNTO 0) IS

WHEN "00"=>LED7S<="1111001";

WHEN "01"=>LED7S<="0100100";

WHEN "10"=>LED7S<="0110000";

WHEN "11"=>LED7S<="0011001";

END CASE;

CASE CURRENT_STATE IS

WHEN S0=>

Z<="0000000000";

WHEN S1=>

IF EN12="00" THEN

Z<="0000000001";

ELSIF EN12="01" THEN

Z<="1000000001";

ELSIF EN12="10" THEN

Z<="0000000001";

ELSE

Z<="1111111111";

END IF;

WHEN S2=>

IF EN12="00" THEN

Z<="0000000010";

ELSIF EN12="01" THEN

Z<="010*******";

ELSIF EN12="10" THEN

Z<="0000000011";

ELSE

Z<="0000000000";

END IF;

WHEN S3=>

IF EN12="00" THEN

Z<="0000000100";

ELSIF EN12="01" THEN

Z<="0010000100";

ELSIF EN12="10" THEN

Z<="0000000111";

ELSE

Z<="1111111111"; END IF;

WHEN S4=>

IF EN12="00" THEN

Z<="0000001000"; ELSIF EN12="01" THEN Z<="0001001000"; ELSIF EN12="10" THEN Z<="0000001111"; ELSE

Z<="0000000000"; END IF;

WHEN S5=>

IF EN12="00" THEN

Z<="0000010000"; ELSIF EN12="01" THEN Z<="0000110000"; ELSIF EN12="10" THEN Z<="0000011111"; ELSE

Z<="1111111111"; END IF;

WHEN S6=>

IF EN12="00" THEN

Z<="0000100000"; ELSIF EN12="01" THEN Z<="0001001000"; ELSIF EN12="10" THEN Z<="0000111111"; ELSE

Z<="0000000000"; END IF;

WHEN S7=>

IF EN12="00" THEN

Z<="0001000000"; ELSIF EN12="01" THEN Z<="0010000100"; ELSIF EN12="10" THEN Z<="0001111111"; ELSE

Z<="1111111111"; END IF;

WHEN S8=>

IF EN12="00" THEN

Z<="0010000000"; ELSIF EN12="01" THEN Z<="010*******"; ELSIF EN12="10" THEN Z<="0011111111"; ELSE

Z<="0000000000"; END IF;

WHEN S9=>

IF EN12="00" THEN

Z<="010*******"; ELSIF EN12="01" THEN Z<="1000000001"; ELSIF EN12="10" THEN Z<="0111111111"; ELSE

Z<="1111111111"; END IF;

WHEN S10=>

IF EN12="00" THEN

Z<="1000000000"; ELSIF EN12="01" THEN Z<="1000000001"; ELSIF EN12="10" THEN Z<="1111111111";

ELSE

Z<="0000000000";

END IF;

END CASE;

END IF;

END PROCESS;

END ARCHITECTURE BHV;

其波形仿真结果如图:

其中

当CON为1时,正常显示,当其为0时,保持上一次最后花型。如图所示,

当EN12为00时,LED7S为1111001(即‘1‘的二进制码),此时Z[9]-Z[0]作为流水灯,依次点亮,视为花型1;

当EN12为10时,LED7S为0100100(即‘2‘的二进制码),此时Z[9]-Z[0]作为流水灯,依次逐渐全部点亮,视为花型2;

当EN12为01时,LED7S为0110000(即‘3‘的二进制码),此时Z[9]-Z[0]作为流水灯,依次逐渐由两头向中间挨个点亮,视为花型3;

当EN12为01时,LED7S为0011001(即‘4‘的二进制码),此时Z[9]-Z[0]作为流水灯,全亮之后全灭之后再全亮,即闪烁,视为花型4。

这样可视为完成四种花型的循环彩灯。

其原理图如图:

引脚及开关对应关系如下:

硬件测试:

将编译好的的程序下载到实验板中,

当SW1与SW2为00时,数码管显示1,Z[9]-Z[0]挨个依次点亮后又熄灭。

当SW1与SW2为01时,数码管显示2,Z[9]-Z[0]挨个依次点亮最终全部点亮。

当SW1与SW2为10时,数码管显示3,Z[9]-Z[0]依次逐渐由两头向中间挨个点亮。

当SW1与SW2为11时,数码管显示4,Z[9]-Z[0]全亮之后全灭之后再全亮,完成闪烁功能。

当SW0置为0时,彩灯停止变化。

验证试验成功。

惠斯通电桥实验报告南昌大学

南昌大学物理实验报告 课程名称:_____________ 大学物理实验 实验名称:_______________ 惠斯通电桥 学院:___________ 专业班级: 学生姓名:_________ 学号: 实验地点:___________ 座位号: 实验时间:第11周星期4上午10点开始

、实验目的: 1. 掌握电桥测电阻的原理和方法 2. 了解减小测电阻误差的一般方法 、实验原理: (1) 惠斯通电桥原理 惠斯通电桥就是一种直流单臂电桥,适用于测中值电阻,其原理电路如图 7-4所示。若调节电阻到合适阻值时, 可使检流计 G 中无电流流过,即 B 、D 两点的电位相等,这时称为“电桥平衡”。电桥平衡,检流计中无电流通过, 相当于无BD 这一支路,故电源 E 与电阻R ,、R x 可看成一分压电路;电源和电阻 R 1 上面两式可得 R 2 桥达到平衡。故常将 R 、R 2所在桥臂叫做比例 臂,与R x 、R S 相应的桥臂分别叫做测量臂和比 较臂。 V B C 点为参考,贝y D 点的电位V D 与B 点的电位V B 分别为 R 2 R S R S V D R X 因电桥平V B V D 故解 R 2、R S 可看成另一分压电路。若以 R x 为 E 待测电阻,则有 R>< R X R S 上式叫做电桥的平衡条件,它说明电桥平衡时,四个臂的阻值间成比例关系。如果 1 10,10 1等)并固定不变,然后调节 金使电

(2)电桥的灵敏度

n R S R S 灵敏度S 越大,对电桥平衡的判断就越容易,测量结果也越准确。 此时R s 变为R s ,则有:R x R2 R s ,由上两式得R x . R s R s 三、 实验仪器: 线式电桥板、电阻箱、滑线变阻器、检流计、箱式惠斯通电桥、待测电阻、低压直流电源 四、 实验内容和步骤: 1. 将箱式电桥打开平放,调节检流计指零 2. 根据待测电阻(线式电桥测量值或标称值)的大小和 R 3值取满四位有效数字原则,确定比例臂的取值,例如 R 为数千欧的电阻,为保证 4位有效数字,K r 取 3. 调节F 3的值与R <的估计 S _____ S 的表达式 R S R S S-i S 2 _____________________ ES R i R 2 R s R x 1 R E % R i R 2R X Rg 2 R x R s R 2 R - R E 2 R R s R x (3) 电桥的测量误差 电桥的测量误差其来源主要有两方面,一是标准量具引入的误差, 二是电桥灵敏度引入的误差。为减少误差传递, 可采用交换法。 交换法:在测定R x 之后,保持比例臂 R -、R 2不变,将比较臂 R s 与测量臂R x 的位置对换,再调节 R s 使电桥平衡,设 电桥的灵敏程度定义: R i

东北大学操作系统第一次实验报告

实验1:熟悉Linux系统 一、题目:熟悉Linux系统 二、目的: 熟悉与掌握Linux系统基本命令,熟悉Linux编程环境,为以后的实验打下基础。 1、启动、退出、ls(显示目录内容)、cp(文件或目录的复制)、mv(文件、目录更名或移动)、rm(删除文件或目录)、mkdir(创建目录)、rmdir(删除空目录)、cd(改变工作目录)… 2、C语言编辑、编译 三、内容及要求: 1、熟练掌握Linux基本文件命令; 2、掌握Linux编辑程序、对源代码进行编译、连接、运行及调试的过程; 3、认真做好预习,书写预习报告; 4、实验完成后要认真总结、完成实验报告。 四、内容及要求: 在Linux环境下编制、调试源程序的实际过程(每一步的具体说明)。 实验2:进程状态 一、题目:进程状态 二、目的: 自行编制模拟程序,通过形象化的状态显示,使学生理解进程的概念、进程之间的状态转换及其所带来的PCB内容、组织的变化,理解进程与其PCB间的一一对应关系。 三、内容及要求 1、设计并实现一个模拟进程状态转换及其相应PCB组织结构变化的程序; 2、独立设计、编写、调试程序; 3、程序界面应能反映出在模拟条件下,进程之间状态转换及其对应的PCB组织的变化。 4、进程的状态模型(三状态、五状态、七状态或其它)可自行选择, 5、代码书写要规范,要适当地加入注释; 6、鼓励在实验中加入新的观点或想法,并加以实现;

7、认真进行预习,完成预习报告; 8、实验完成后,要认真总结,完成实验报告。 四、程序流程图 图4、1 进程转换流程五、使用的数据结构及其说明 struct PCB //进程控制块PCB { char name; //名字标识 string state; //状态 int time; //执行时间 }; typedef struct PCB ElemType; struct QNode { ElemType data; struct QNode *next; }; //链式队列结点 typedef struct QNode QNode; //结点 typedef struct QNode *PNode;

南昌大学DSP实验报告

实验报告 实验课程:DSP原理及应用 学生姓名: 学号: 专业班级: 2012年 5月 25日

目录 实验一定点除法运算 实验二FIR滤波器 实验三FFT算法 实验四卷积计算 实验五数码管显示 实验六语音录放

实验一定点除法运算 一、实验目的 1、熟悉C54指令系统,掌握常用汇编指令,学会设计程序和算法的技巧。 2、学习用指令实现除法运算。 二、实验设备 计算机;DSP 硬件仿真器;DSP 实验开发平台。 三、实验原理 由内置的硬件模块支持,数字信号处理器可以高速的完成加法和乘法运算。但TMS320 系列DSP不提供除法指令,为实现除法运算,需要编写除法子程序来实现。二进制除法是乘法的逆运算。乘法包括一系列的移位和加法,而除法可分解为一系列的减法和移位。本实验要求编写一个16 位的定点除法子程序。 1.除法运算的过程设累加器为8 位,且除法运算为10 除以3,除的过程包括与除数有关的除数逐步移位,然后进行减法运算,若所得商为正,则在商中置1,否则该位商为0 例如:4 位除法示例:(1)数的最低有效位对齐被除数的最高有效位00001010 - 00011000 11110010 (2)由于减法结果为负,丢弃减法结果,将被除数左移一位再减00010100 - 00011000 11111000 (3)结果仍为负,丢弃减法结果,将被除数左移一位再减00101000 - 00011000 00010000 (4)结果为正,将减法结果左移一位后把商置1,做最后一次减00100001 - 00011000 00001001 (5)结果为正,将减法结果左移一位加1 得最后结果,高4 位是余数,低4 位商:00010011 2.除法运算的实现为了尽量提高除法运算的效率,’C54x 系列提供了条件减指令SUBC 来完成除法操作。 四、实验步骤 1.用Simulator 方式启动Code Composer。 2 .执行Project New 建立新的项目,输入chuf作为项目的名称,将程序定位在D:\ti\myprojects\chuf目录。 3.执行File New Source File 建立新的程序文件,为创建新的程序文件命名为chuf.asm 并保存;执行Project Add Files to Project,把chuf.asm 加入项目中。4.执行File New Source File 建立新的文件并保存为chuf.cmd;执行Project Add Files to Project,把chuf.cmd 加入项目中。 5.编辑chuf.asm 加入如下内容: ;*** 编制计算除法运算的程序段。其中|被除数|<|除数|,商为小数*** .title "chuf.asm" .mmregs .def start,_c_int00

(完整版)东北大学单色仪定标实验详细过程

首先是实验报告中的记录表格,那本书上并没有给出完整表格,只给了一个表头,我们画表格的时候则要画至少19行(推荐20行乃至21行会更好些),老师在检查完实验报告后说许多人的表格画的不合格,大都是因为行数画少了。 其次就是实验前预习,老师讲解的时候真的会提问的,不过没有扣分就是了。问的问题大致是六个,分别是: 1.单色仪的结构原理 2.单色仪定标的原理 3.单色仪定标的意义 4.如何识别谱图 5.单色仪鼓轮读数怎么读 6.显微镜的使用方法 前3个问题在书中都能找到,后三个问题稍后我会说明,这6个问题也就是整个实验的核心内容,弄懂了这6个问题整个实验操作就不会犯太大的错误。 进教室并将书包放好之后,老师会将实验报告收上来,然后让我们看一段幻灯片(自动播放的),同时她在那检查实验报告,幻灯片的内容就是上述的6个问题的答案,所以万一课前没来得及预习,将幻灯片里的内容记下来也可以。幻灯片结束之后就是老师讲解了,这里我们略过,直接看实验过程吧。

注:单色仪的两狭缝宽度千万不要调! 光谱、读数显微镜与单色仪

透镜和汞灯

以上就是我们实验时用到的仪器。

首先打开汞灯,刚开始不要急着观察,汞灯需要点亮一段时间才能达到最大亮度。 接着是调整单色仪鼓轮的位置 注意:单色仪的鼓轮是配有一个反射镜的(让我拿下去了),单色仪鼓轮上主尺的读数是左大右小(老师可能会问到),和读数显微镜的主尺标示不一样,如上图所示。 而在实验时我们观察单色仪鼓轮读数是通过反射镜来观察,如下图:

从反射镜中看主尺读数就是左小右大了,如此时的读数应为18.311mm左右(主尺上一个格1mm,测微鼓轮一个格0.01mm)。

实验四序列发生器

南昌大学实验报告学生姓名:学号:专业班级:中兴101班 实验类型:□验证□综合■设计□创新实验日期:2012、11、16成绩: 实验四序列信号发生器与检测器设计 一、实验目的 1、学习VHDL文本输入法 2、学习有限状态机的设计 3、利用状态机实现串行序列的输出与序列的检测 4、继续学习优化设计 二.实验内容与要求 1. 设计序列发生器,完成序列为0111010011011010的序列生成器 2.用有限状态机设计序列检测器,实现串行序列11010的检测器 3. 若检测到符合要求的序列,则输出显示位为“1”,否则为“0” 4. 对检测到的次数计数 5.整个工程采用顶层文件+底层模块的原理图或文本的设计思路 三、实验仪器 PC机、Quartus II软件、EDA实验箱 四、实验思路 1.设计序列发生器 基本思想为一个信号CQ1计数,给另一个信号CO(代表序列的每一位)赋值的方法: 先设定端口CQ1用于产生序列时计数,因为序列共16位,因此端口CQ1为标准逻辑矢量,位宽为4,设另一个端口M代表序列的每一位,CQ1每计一个数,就给M赋一个值,这样产生一个16位的序列。由于端口不能参与相关运算,因此在结构体中我分别定义了信号CQ1(标准逻辑矢量,位宽4),信号Q与相应的端口CQ1 CO对应,在进程中参与相应的运算,在程序的最后再用端口接收信号: CO<=Q; 在进程中我采用case –when 语句,如当CQ1为“0000”的时候,给另一信号Q赋‘0’,当CQ1为“0001” 2.序列检测器 序列检测器设计的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及序列,直到在连续的检测中收到的每一位码都与实验要求相同。在此,必须利用状态转移图。 电路需要分别不间断记忆:初始状态、1、11、110、1101、11010共六种状态,状态转移如图:

【免费下载】东北大学物理实验报告

实验一霍尔效应及其应用 【预习思考题】 1.列出计算霍尔系数、载流子浓度n、电导率σ及迁移率μ的计算公式,并注明单位。 霍尔系数,载流子浓度,电导率,迁移率。 2.如已知霍尔样品的工作电流及磁感应强度B的方向,如何判断样品的导电类型? 以根据右手螺旋定则,从工作电流旋到磁感应强度B确定的方向为正向,若测得的霍尔电压为正,则样品为P型,反之则为N型。 3.本实验为什么要用3个换向开关? 为了在测量时消除一些霍尔效应的副效应的影响,需要在测量时改变工作电流及磁感应强度B的方向,因此就需要2个换向开关;除了测量霍尔电压,还要测量A、C间的电位差,这是两个不同的测量位置,又需要1个换向开关。总之,一共需要3个换向开关。 【分析讨论题】 1.若磁感应强度B和霍尔器件平面不完全正交,按式(5.2-5)测出的霍尔系数比实际值大还是小?要准确测定值应怎样进行? 若磁感应强度B和霍尔器件平面不完全正交,则测出的霍尔系数比实际值偏小。要想准确测定,就需要保证磁感应强度B和霍尔器件平面完全正交,或者设法测量出磁感应强度B 和霍尔器件平面的夹角。 2.若已知霍尔器件的性能参数,采用霍尔效应法测量一个未知磁场时,测量误差有哪些来源? 误差来源有:测量工作电流的电流表的测量误差,测量霍尔器件厚度d的长度测量仪器的测量误差,测量霍尔电压的电压表的测量误差,磁场方向与霍尔器件平面的夹角影响等。实验二声速的测量 【预习思考题】 1. 如何调节和判断测量系统是否处于共振状态?为什么要在系统处于共振的条件下进行声速测定? 答:缓慢调节声速测试仪信号源面板上的“信号频率”旋钮,使交流毫伏表指针指示达到最大(或晶体管电压表的示值达到最大),此时系统处于共振状态,显示共振发生的信号指示灯亮,信号源面板上频率显示窗口显示共振频率。在进行声速测定时需要测定驻波波节的位置,当发射换能器S1处于共振状态时,发射的超声波能量最大。若在这样一个最佳状态移动S1至每一个波节处,媒质压缩形变最大,则产生的声压最大,接收换能器S2接收到的声压为最大,转变成电信号,晶体管电压表会显示出最大值。由数显表头读出每一个电压最大值时的位置,即对应的波节位置。因此在系统处于共振的条件下进行声速测定,可以容易和准确地测定波节的位置,提高测量的准确度。 2. 压电陶瓷超声换能器是怎样实现机械信号和电信号之间的相互转换的? 答:压电陶瓷超声换能器的重要组成部分是压电陶瓷环。压电陶瓷环由多晶结构的压电材料制成。这种材料在受到机械应力,发生机械形变时,会发生极化,同时在极化方向产生电场,这种特性称为压电效应。反之,如果在压电材料上加交变电场,材料会发生机械形变,这被称为逆压电效应。声速测量仪中换能器S1作为声波的发射器是利用了压电材料的逆压电效应,压电陶瓷环片在交变电压作用下,发生纵向机械振动,在空气中激发超声波,把电信号转变成了声信号。换能器S2作为声波的接收器是利用了压电材料的压电效应,空气的振动使压电陶瓷环片发生机械形变,从而产生电场,把声信号转变成了电信号。

南昌大学自动装置实验报告

实验报告 实验课程:自动装置原理 学生姓名: 学号: 专业班级:电力系统及其自动化班

目录实验一:无功调差及自动检测实验 实验二:综合放大及调节特性实验

实验一:无功调差及自动检测实验一、实验目的 1.深入理解调差原理,掌握改变发电机电压调节特性斜率的方法。2.深入了解测量和比较整定电路的结构形式和工作原理。 3.掌握自动检测各个环节的工作特性及其调试方法。 二、实验设备 三、实验内容与步骤 1.无功调差和自动检测实验接线 见图1-9,将三相调压器输出调至 零输出位置,电源开关处于断开 状态,按图接线,接线完毕后要 自行检查接线正确性,然后,请 指导老师检查,确定无误后,接 入交流电源(注意:在整个实验 过程中,由三相调压器输入实验 电路测量变压器1-3T一次侧的电 压不得大于120V“线电压”,并且 U AB=U BC=U CA)。 2.将调差整定开关置于“0”档。 “调试”“运行”插头插入“运行” 位置。“远”“近”控开关置于“近” 控位置。 3.将输入电压调至 U AB=U BC=U CA =105V,按表1-1 要求进行检测: ①检测测量变压器的变比(测 出二次侧线电压进行计算)。 ②检测三相桥式整流器的输出 电压 ③检测二个比较桥上四个稳压 管反向击穿后的稳压值。把各项 测试数据记录在表2-1中。 4.用示波器观察测试整流输出 直流电压叠加的交流纹波。

5.比较桥检测特性实验 实验接线见图1-9,当电压整定电位器RP分别置于“0圈”“5圈”“10圈”位置时,在测量变压器一次侧加入三相交流电压U f,按表1-2改变交流电压输入值,用高内阻电压表测出U f从小到大调节变化过程中各对应点的U CB、U DB、U CD(即△U)及U EB、U FB,记录在表1-2中。 6.根据表1-2中测得的数据绘制检测桥的特性曲线。 四、实验记录表 表1-1 测量变压器变比、整流及稳压管稳压值测试记录表(见下表)。调差电阻“0 AB BC CA 表1-2 比较桥检测特性实验记录表 整定电位器位置不同时,测试交流电压U f与测量桥的输出关系,测量桥输出一点为RP滑动端(C),另一点为4VW c和3R c的连接点(D),即为比较桥输出电压U CD(△U),及U CB、U DB、U EB、U FB各点电位见图1-9。

东北大学c++实验报告

实验六 1?实验要求 (1)定义Point类,有坐标_x, _y两个成员变量;对Point类重载牛+ ”(自增)、“一-(自减)运算符,实现对坐标值的改变。 (2)定义一个车(vehiele)基类,有Run、Stop等成员函数,由此派生出自行车(bicycle)类、汽车(motorcar)类,从bicycle和motorcar派生出摩托车(motorcycle)类,它们都有Run、Stop等成员函数。观察虚函数的作用。 2.实验容及实验步骤 (1)编写程序定义Point类,在类中定义整型的私有成员变量_x_y,定义成员函数Point& operato叶+() ; Point operato叶+(int);以实现对Point 类重载++ ”(自增)运算符,定义成员函数Point& operator ------------------------------ ();Point operator -------- (int);以实现对Point类重载(自减)运算符,实现对坐标值的改变。程序名:1ab8_1. cpp。 ⑵编写程序定义一个车(vehicle)基类,有Run、Stop等成员函数,由此派 生出自行车(bicycle)类、汽车(motorcar)类,从bicycle和motorcar派生出摩托车(motorcycle)类,它们都有Run、Stop等成员函数。在main()函数中定义vehicle、bicycle、motorcar、motorcycle 的对象,调用其Run()、Stop()函数,观察其执行情况。再分别用vehicle类型的指针来调用这几个对象的成员函数,看看能否成功;把Run、Stop定义为虚函数,再试试看。程序名:lab8_2. cpp。 3.源程序 Lab8 1 #in clude using n amespace std;

实验一 一位二进制全加器设计实验

南昌大学实验报告 学生姓名: 学 号: 专业班级: 中兴101 实验类型:■ 验证 □ 综合 □设计 □ 创新 实验日期: 2012 9 28 实验成绩: 实验一 一位二进制全加器设计实验 一.实验目的 (1)掌握Quartus II 的VHDL 文本设计和原理图输入方法设计全过程; (2)熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果; (3) 熟悉设备和软件,掌握实验操作。 二.实验内容与要求 (1)在利用VHDL 编辑程序实现半加器和或门,再利用原理图连接半加器和或门完成全加器的设计,熟悉层次设计概念; (2)给出此项设计的仿真波形; (3)参照实验板1K100的引脚号,选定和锁定引脚,编程下载,进行硬件测试。 三.设计思路 一个1位全加器可以用两个1位半加器及一个或门连接而成。而一个1位半加器可由基本门电路组成。 (1) 半加器设计原理 能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器。或:只考虑两个一位二进制数的相加,而不考虑来自低位进位数的运算电路,称为半加器。图1为半加器原理图。其中:a 、b 分别为被加数与加数,作为电路的输入端;so 为两数相加产生的本位和,它和两数相加产生的向高位的进位co 一起作为电路的输出。 半加器的真值表为 表1 半加器真值表 由真值表可分别写出和数so ,进位数co 的逻辑函数表达式为: b a b a b a so ⊕=+=- - (1) ab co = (2) 图1半加器原理图 (2) 全加器设计原理 除本位两个数相加外,还要加上从低位来的进位数,称为全加器。图2全加器原理图。全加器的真值表如下:

东北大学数值分析实验报告

数值分析设计实验实验报告

课题一 迭代格式的比较 一、问题提出 设方程f 3 - 3x –1=0 有三个实根 x * 1 =1.8793 , x *2=-0.34727 ,x *3=-1.53209现采用下面三种不同计算格式,求 f(x)=0的根 x * 1 或x *2 1、 x = 21 3x x + 2、 x = 3 1 3-x 3、 x = 313+x 二、要求 1、编制一个程序进行运算,最后打印出每种迭代格式的敛散情况; 2、用事后误差估计k k x x -+1? ε来 3、初始值的选取对迭代收敛有何影响; 4、分析迭代收敛和发散的原因。 三、目的和意义 1、通过实验进一步了解方程求根的算法; 2、认识选择计算格式的重要性; 3、掌握迭代算法和精度控制; 4、明确迭代收敛性与初值选取的关系。 四、程序设计流程图

五、源程序代码 #include #include void main() { float x1,x2,x3,q,a,z,p,e=0.00001; x1=-1.0000;x2=-1.0000;x3=1.0000; int i,y=3; printf("0 %f %f %f\n",x1,x2,x3); q=x1-p;a=x2-p;z=x3-p; for(i=1;i<=60;i++) { if(q(0-e)) goto a; else { p=x1; x1=(3*x1+1)/(x1*x1); printf("%d 1 %f\t",i,x1); q=x1-p; }

a: if(a(0-e)) goto z; else { p=x2; x2=(x2*x2*x2-1)/3; printf("%d 2 %f\t",i,x2); a=x2-p; } z: if(z(0-e)) goto end; else { p=x3; x3=pow((3*x3+1),1.0/y); printf("%d 3 %f\n",i,x3); z=x3-p; } end:; } } 六。程序运行结果 七.程序运行结果讨论和分析: 对于迭代格式一、二、三对于初值为-1.0000,-1.0000,1.0000分别迭代了37次,8次,10次,由此可知,简单迭代法的收敛性取决于迭代函数,以及初值x 的选取,并且对初值的选取要求较高,需谨慎选取。

南昌大学PLC实验报告

实验一三相异步电动机接触器点动控制线路 (2) 实验二三相异步电动机的可逆运转控制 (4) 实验三通电延时型控制线路 (6) 实验四可编程控制器的基本指令编程练习 (8) 实验五喷泉的模拟控制 (10) 实验六交通灯的模拟控制 (13) 实验七液体混合的模拟控制 (16)

实验一 三相异步电动机接触器点动控制线路 一、概述 三相笼式异步电机由于结构简单、性价比高、维修方便等优点获得了广泛的应用。在工农业生产中,经常采用继电器接触控制系统对中小功率笼式异步电机进行点动控制,其控制线路大部分由继电器、接触器、按钮等有触头电器组成。 图2是三相鼠笼异步电动机接触器点动控制线路(电机为Y 接法) 起动时,合上漏电保护断路器及空气开关QF ,引入三相电源。按下起动按钮SB2时,交流接触器KM1的线圈通电,主触头KM1闭合,电动机接通电源起动。当手松开按钮时,接触器KM1断电释放,主触头KM1断开,电动机电源被切断而停止运转。 FR1 FU1KM1 QF L1 L2 L3 L KM1 M 3~ FR1 N FU2 FU2 L SB1 SB2 二、实验目的 1、 了解时间继电器的结构,掌握其工作原理及使用方法。 2、 掌握Y-Δ起动的工作原理。 3、 熟悉实验线路的故障分析及排除故障的方法。 三、实验设备 序号 设备名称 使用仪器名称 数量 1 DL-CX-001 三相交流电源 1 2 WD01G 空开、熔断器模块 1 3 WD04G 热继电器模块 1 4 WD09G 按钮模块 1 5 WD02G 接触器模块 1 6 M04 三相鼠笼式异步电动机 1 四、实验内容及步骤 1、检查各实验设备外观及质量是否良好。 2、按图2三相鼠笼式异步电动机接触器点动控制线路进行正确的接线。先接主回路,再接控制回路。自己检查无误并经指导老师检认可后方可合闸通电实验。 (1)、热继电器值调到1.0A 。 (2)、合上漏电保护断路器及空气开关QF ,调节三相电源输出220V 。 (3)、按下起动按钮SB2时,观察电机工作情况,体会点动操作。(注意,操作次数不宜频

东北大学图像处理实验报告

计算机图像处理实验报告 哈哈哈哈哈哈实验台31 1.应用MATLAB语言编写显示一幅灰度图像、二值图像、索引图像及 彩色图像的程序,并进行相互之间的转换 1)彩色图像转换为灰度图像、索引图像、二值图像 A=imread('F:\colorful.jpg'); subplot(221);imshow(A);title('彩色图像'); I1=rgb2gray(A); subplot(222);imshow(I1);title('灰度图像'); [X1,map]=rgb2ind(A,256); subplot(223);imshow(X1);title('索引图像'); BW=im2bw(A); subplot(224);imshow(BW);title('二值图像'); 彩色图像灰度图像 索引图像二值图像

2)灰度图像转换为索引图像、二值图像 clear A=imread('F:\colorful.jpg'); B=rgb2gray(A); subplot(131);imshow(B);title('灰度图像'); [X2,map]=gray2ind(B,128); subplot(132);imshow(X2);title('索引图像'); BW2=im2bw(B); subplot(133);imshow(BW2);title('二值图像'); 灰度图像索引图像二值图像 3)索引图像转为灰度图像、二值图像、彩色图像 clear A=imread('F:\colorful.jpg'); [X,map]=rgb2ind(A,256); subplot(221);imshow(X);title('索引图像'); I3=ind2gray(X,map); subplot(222);imshow(I3);title('灰度图像'); BW3=im2bw(X,map,0.5); subplot(223);imshow(BW3);title('二值图像'); RGB=ind2rgb(X,map); subplot(24);imshow(RGB);title('还原彩色图像'); 索引图像灰度图像 二值图像还原彩色图像

惠斯通电桥实验报告 南昌大学

南昌大学物理实验报告 课程名称:大学物理实验 实验名称:惠斯通电桥 学院:专业班级: 学生姓名:学号: 实验地点:座位号: 实验时间:第11周星期4上午10点开始

一、实验目的: 1. 掌握电桥测电阻的原理和方法 2. 了解减小测电阻误差的一般方法 二、实验原理: (1) 惠斯通电桥原理 惠斯通电桥就是一种直流单臂电桥,适用于测中值电阻,其原理电路如图7-4所示。若调节电阻到合适阻值时,可使检流计G 中无电流流过,即B 、D 两点的电位相等,这时称为“电桥平衡”。电桥平衡,检流计中无电流通过,相当于无BD 这一支路,故电源E 与电阻1R 、x R 可看成一分压电路;电源和电阻2R 、S R 可看成另一分压电路。若 以C 点为参考,则D 点的电位D V 与B 点的电位B V 分别为 因电桥平B D V V =故 解上面两式可得 上式叫做电桥的平衡条件,它说明电桥平衡时,四个臂的阻值间成比例关系。如果x R 为待测电阻,则有 。选取1R 、2R 简单的比例如(1:1, (2) 电桥的灵敏度 电桥的灵敏程度定义: 灵敏度S 越大,对电桥平衡的判断就越容易,测量结果也越准确。 S 的表达式 ()???? ??+++???? ??+++???? ???++++= x s E s x g X g E x s R R R R R R R R R R R R R R R R R R ES S 21212211 221 (3)电桥的测量误差 X X D S S B R R R E V R R R E V += += 12S X R R R R =21S X R R R R 2 1 = S S R R n S ??= 21S S R R I I n R R n S S S g g S S ?=???? ??????= ??= 1:10,10:1等)并固定不变,然后调节R S 使电桥达到平衡。故常将1R 、2R 所在桥臂叫做比例臂,与x R 、S R 相应的桥臂分别叫做测量臂和比较臂。

大学物理实验电子教案模板

大学物理实验教案 实验题目 霍耳效应法测量磁场 实验性质 基本实验 实验学时 3 教师 冷雪松 教学目的 1、熟悉和掌握霍尔磁场测试仪器和霍尔效应装置的使用方法 2、了解霍尔效应产生的原理 3、学习和掌握了用霍尔效应的方法测量磁场 4、学习霍尔效应研究半导体材料的性能的方法以及消除副效应影响的方法重点 消除副效应对测量结果的影响 难点 霍尔效应的产生机理 怎样消除影响测量准确性的附加效应 教 学 过 程

设 计 课前的准备: 仪器设备的检查,注意要校准砝码。 实验的预做(采集三组以上数据进行处理)。 作出数据表格设计的参考。 课上教学的设计: 一、课上的常规检查(预习报告、数据表格的设计等)。(5 分钟) 二、讲解的设计(30分钟) 1、引言 德国物理学家霍尔(E.H.Hall)1879年研究载流导体在磁场中受力的性质时发现,任何导体通以电流时,若存在垂直于电流方向的磁场,则导体内部产生与电流和磁场方向都垂直的电场,这一现象称为霍尔效应,它是一种磁电效应(磁能转换为电能)。二十世纪五十年代以来,由于半导体工艺的发展,先后制成了多种有显著霍尔效应的材料,这一效应的应用研究也随之发展起来。现在,霍尔效应已在测量技术、自动化技术、计算机和信息技术等领域得到了广泛的应用。在测量技术中,典型的应用是测量磁场。 测量磁场方法不少,但其中以霍尔效应为机理的测磁方法因结构简单、体积小、测量速度快等优点而有着广泛的应用,本实验就是采用这种方法。通过本实验了解霍尔效应的物理原理,掌握用磁电传感器——霍尔元件测量磁场的基本方法,学习用异号法消除不等位电压产生的系统误差。 2、提出本实验的目的与任务,讲授为完成本实验设计思想和设计 原则 实验原理 霍尔效应实质上是运动电荷在磁场中受到洛仑磁力的作用后发生偏转而产生的,当霍尔电场力与洛仑磁力平衡时,霍尔片中载流子不在迁移,这样就在霍尔片的上下两个平面间形成了恒定的电位差——霍尔电位差UH,实验测定 系数RH=1/ne称为霍尔系数,是反映材料霍尔效应强弱的重要参数,载流子浓度n越小,则RH越大,UH也越大,所以只有当半导体(n比金属的小得多)出现以后,霍尔效应的应用才得以发展。对于特定的霍尔元件,其厚度d确定,定义霍尔灵敏度KH=RH /d,KH与霍尔片的材料性质、几何尺寸有关,对于一定的霍尔片,其为常数。这样 上式是霍尔效应测磁场的基本理论依据,只要已知KH,用仪器测出I及UH,则可求出磁感应强度B。 3、实验的拓展:(由本实验的完成深化和延伸所学的知识,启发学 生利用现有的设备拓展出新的实验内容,培养学生的创新思维和创新能力。) 1)、测量霍尔元件的不等位电势差 2)、测量霍尔片的特性曲线 4.数据的测量与处理要求用做图法处理数据. 5.介绍主要仪器设备与使用 6.强调实验中要注意的问题 1)、霍尔片又薄又脆,切勿用手摸。

实验一一位二进制全加器设计实验

◎南昌大学实验报告 学生姓名: ______ 学号:____________ 专业班级:中兴101 实验类型:■ 验证口综合□设计口创新实验日期:2012 9 28 实验成绩: _________________ 实验一一位二进制全加器设计实验 一. 实验目的 (1)掌握Quartus II的VHDL文本设计和原理图输入方法设计全过程; (2)熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果; (3)熟悉设备和软件,掌握实验操作。 二. 实验内容与要求 (1)在利用VHDL编辑程序实现半加器和或门,再利用原理图连接半加器和或门完成全加器的设计,熟悉层次设计概念; (2)给出此项设计的仿真波形; (3)参照实验板1K100的引脚号,选定和锁定引脚,编程下载,进行硬件测试。 三?设计思路 一个1位全加器可以用两个1位半加器及一个或门连接而成。而一个1位半加器可由基本门电路组成。 (1) 半加器设计原理 能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器。或:只考虑两 个一位二进制数的相加,而不考虑来自低位进位数的运算电路,称为半加器。图1为半加器 原理图。其中:a、b分别为被加数与加数,作为电路的输入端;so为两数相加产生的本位 和,它和两数相加产生的向高位的进位co 一起作为电路的输出。 半加器的真值表为 表1 由真值表可分别写出和数so,进位数co的逻辑函数表达式为: so a b a b a b co ab (1) (2)

(2) 全加器设计原理 除本位两个数相加外,还要加上从低位来的进位数,称为全加器。图2全加器原理图。 全加器的真值表如下: 其中a为加数,b为加数,c为低位向本位的进位,co为本位向高位的进位,so为本位和。 图2.全加器原理图 四?实现方法一:原理图输入法设计(自己独立完成) 1. 建立文件夹 建立自己的文件夹(目录),女口c:\myeda,进入Windows操作系统Quartusll不能识别中文,文件及文件夹名不能用中文。 2. 原理图设计输入 打开Quartus II ,选菜单File 宀New ,选择“Device Design File->Block Diagram- 'Schematic File 项。点击“ OK'在主界面中将打开“ Block Editor 窗口'。 (1) 放置元件 在原理图编辑窗中的任何一个空白处双击鼠标左键或单击右键,跳出一个选择窗,选择此窗中的Enter Symbol项输入元件,出现元件选择窗口。 元件选择窗口窗口中Symbol Libraries: 的路径c:\ Quartus2\max2lib\prim 下为基本

东北大学数据结构实验报告

. / 实验报告 课程名称:数据结构班级:实验成绩: 实验名称:顺序表和链表的应用学号:批阅教师签字: 实验编号:实验一:实验日期:2017-11-25 指导教师:组号:实验时间:18:30~22:30 一、实验目的 (1)掌握线性表的基本操作(插入、删除、查找)以及线性表合并等运算在顺序存储结构、链式存储结构上的实现。重点掌握链式存储结构实现的各种操作。 (2)掌握线性表的链式存储结构的应用。 二、实验内容与实验步骤 (1)实验内容: 实现约瑟夫环,约瑟夫环(Joseph)问题的一种描述是:编号为1、2、3……n的n个人按照顺时针方向围坐一圈,每人持有一个密码(正整数)。一开始任选一个正整数作为报数的上限值m,从第一个人开始按照顺时针的方向自1开始顺序报数,报到m时停止报数。报m的人出列,将他的密码作为新的m值,从他的顺时针方向上的下一个人开始重新从1报数,如此下去,直至所有人全部出列为止。设计一个程序求出出列顺序。 (2)抽象数据类型和设计的函数描述,说明解决设想。 首先定义一个链表,用其中的data项存储每个人的编号,用password项存储每个人所持有的密码,并且声明一个指针。之后使用CreatList_CL函数来创建一个循环链表,在其中的data和password中存入编号和密码,最后使最后一个节点的next指向L,使其能够形成循环队列。定义了函数Display来显示链表当中的内容,以确定存储的数据没有错误。定义了函数Delete_L来实现约瑟夫环中依次删除的功能,依次比较,如果某个人所持的密码和m值相等,则删除这个结点,并且输出此时该结点的编号和密码,实现出列的功能。 (3)简短明确地写出实验所采用的存储结构,并加以说明。 该实验我主要采用的是线性表的链式存储结构,首先定义了链表的结构,其中包括data 项和password项,分别存储每个人的编号和所持密码,还声明了指向下一个结点的指针,该指针可以连接各个结点,并且将最后一个结点的指针指向第一个结点使之成为一个循环链表。 三、实验环境 操作系统:Windows 7 调试软件名称:Visio Studio2017 上机地点:信息楼B405 四、实验过程与分析 (1)主要的函数或操作内部的主要算法,分析这个算法的时、空复杂度,并说明设计的巧妙之处。

南昌大学 EDA实验全加器设计

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩:实验一熟悉QuartusⅡ软件及实验装置设计全加器 一实验目的: 以书上全加器为例,熟悉用quartus设计的一般步骤,熟悉原理图输入法和文本输入法,了解和使用多层工程的设计。 二实验要求: 1建立全加器工程,用文本文档形式输入程序 2模拟仿真,得出原理图、仿真图,完成引脚锁定 3输入实验箱,用二极管显示出现象 三实验设备: PC机,Quartu eⅱ软件,实验箱 四实验原理: 加器是能够计算低位进位的二进制加法电路 一位全加器由2个半加器h_adder组成 一位全加器(FA)的逻辑表达式为: S=A⊕B⊕Cin Co=AB+BCin+ACin 其中A,B为要相加的数,Cin为进位输入;S为和,Co是进位输

出; 如果要实现多位加法可以进行级联,就是串起来使用;比如32位+32位,就需要32个全加器;这种级联就是串行结构速度慢,如果要并行快速相加可以用超前进位加法, 超前进位加法前查阅相关资料; 如果将全加器的输入置换成A和B的组合函数Xi和Y(S0…S3控制),然后再将X,Y和进位数通过全加器进行全加,就是ALU的逻辑结构结构。 即 X=f(A,B) Y=f(A,B) 不同的控制参数可以得到不同的组合函数,因而能够实现多种算术运算和逻辑运算。 表2-1一位全加器的真值表 DD1 0 0 1 1 0 0 1 1 ADD2 0 1 0 1 0 1 0 1 CARRY_OUT 0 0 0 0 1 1 1 1 SUM< 0 1 1 0 1 0 0 1 其原理图的顶层文件为:

南昌大学 EDA 实验报告 实验五 VGA

南昌大学实验报告 学生姓名:xx 学号:xx 专业班级:xx 实验类型:□验证□综合□设计□创新实验日期:2016.11.04 实验成绩: 实验五VGA 彩条信号发生器的设计 (一)实验目的 1.了解普通显示器正确显示的时序。 2.了解v erilog HDL 产生V GA 显示时序的方法。 3.进一步加强对F PGA 的认识。 (二)实验要求 1.在CRT显示器上显示横条纹、竖条纹、棋盘格子图案、以及带自己名字的南昌大 学校门图片。 2.用两个按键模块来控制显示模式。 3.用两个按键模块实现图片的上下左右移动。 (三)实验原理 VGA接口基本电路标准的VGA接口一个有15个接口(见图1),但其中真正用到的就5个脚,HSYNC是行同步信号,VSYNC是场同步信号,同步信号就是为了让VGA显示器扫描像素点数据,vga_r、vga_g、vga_b为三原色信号。 图1 VGA的接口时序如图2所示,场同步信号VSYNC在每帧数据开始的时候产生一个固定宽度的低脉冲,行同步信号HSYNC在每行开始的时候产生一个固定宽度的低脉冲,数据在某些固定的行和列交汇处有效。 图2 本实验我们用的是800*600显示器吗,刷新频率为60Hz,用50MHz晶振的FPGA芯片驱动设计,表1为其脉冲技术表。

表1 首先行同步信号在某个系统时钟上升沿到来时被拉低120个脉冲宽度后被拉高,经过67个脉冲,DATA数据有效,即显示器开始扫描800个列像素点,扫描完成到下一次行同步信号再次被拉低,中间经过52个时钟脉冲。扫描一行需要的数据帧长为1039。而场同步信号的扫描方式类似于上面的步骤,在某个时钟到来时被拉低6个脉冲宽度继而被拉高,拉高后延迟25个脉冲周期行同步信号被拉 微处理器与可编程器件应用2012.12低,这样开始扫面每行的像素。完成本次扫描后场同步信号再次被拉低重复上述过程。因为刷新频率为60 Hz,所以每秒场同步信号会被拉低60次。 (四)核心代码 按键控制图片移动: always @ (posedge CLK_50M or negedge RST_N) if(!RST_N) addr<=0; else if(valid) begin if((xpos>mode_x_cnt&&xposmode_y_cnt&ypos

南昌大学EDA实验要求及报告要求

实验一全加器的设计 (一)实验目的 以四位二进制全加器为例熟悉利用QuartusII的原理图输入方法和文本输入法设计简单组合电路;学习多层次工程的设计方法。 (二)实验要求 ⑴用文本方法实现一位全加器,再采用层次设计法用原理图输入完成4位全加器的设计; ⑵给出此项设计的仿真波形; ⑶用发光LED指示显示结果。 (三)实验流程 1、创建1位全加器工程,新建verilog文本文件,编译,转换为.bsf符号文件。 2、同一文件夹下创建4位全加器工程,新建bdf原理图文件并编译。 3、新建vwf波形文件,时序仿真验证加法功能。 4、引脚锁定并再次编译。 5、添加.sof文件下载测试。 (四)实验效果 实验二模可变计数器的设计 (一)实验目的 1、进一步熟悉EDA开发板和QuartusⅡ软件的使用方法; 2、学习静态数码管的使用; 3、学习计数器的设计、仿真和硬件测试;学习7段数码显示译码器设计; (二)实验要求 设计模可变计数器,可任选模的大小(例模15、模115),实验要求: (1)设置一位控制位M,要求M=0:模X计数;M=1:模Y计数;

(2)计数结果用3位数码管显示,显示BCD码; (3)给出此项设计的仿真波形; (4)选择实验电路验证此计数器的功能。 设置涉及2个开关和一个按键,一个开关控制改变模值,另一开关作为使能控制,按键作为异步清0。 (三)实验程序 (四)实验波形 (五)测试效果 实验三序列信号发生和检测器设计 (一)实验目的:学习一般有限状态机的设计,用状态机实现序列发生和检测器的电路设计。(二)实验要求:先实现串行序列发生器的设计,产生序列0111010011011010;再设计检测器,若检测到串行序列11010则输出为“1”,否则输出为“0”,并对其进行仿真和硬件测试,选择实验电路验证功能。 下载程序后,可通过led串行输出序列信号,另用五个led灯来观测待检测序列,当11010五个全部出现在led上时,标识位灯M亮起,说明检测到“11010”的信号,即符合设计要求。 产生的序列和检测的序列值可任选。 发生器和检测器最好异步,以确保能检测到,可以将时钟经非门后再接入检测器。(三)序列检测状态转移图 (四)实验程序

相关文档
相关文档 最新文档