文档库 最新最全的文档下载
当前位置:文档库 › 数字频率计

数字频率计

数字频率计
数字频率计

微机原理课程设计报告

设计题目:数字频率计

一﹑课程设计目的

通过课程设计,掌握8086/8088汇编语言程序的设计和调试;信号频率的数字测量方法;定时计数器8253的基本工作原理和应用;微机基本应用系统的设计方法;8253的控制字的设置、工作方式、编程原理和微机接口方法,熟悉8088的功能几个管脚的作用;提高理论联系实际的解决实际问题的能力,提高对接口技术等相关硬件知识的深入理解,进而提高动手能力和学习兴趣为顺利进入毕业环节做必要的准备。

二﹑课程设计内容

以8088CPU为核心设计一个数字频率计,要求能测量1HZ~1MHZ频率范围的矩形和正弦波的频率或周期。

三﹑课程设计要求

用8253芯片设计电路图,画出电路原理图,说明工作原理,编写程序。

四、芯片功能介绍

1. 8253芯片

8253为可编程定时/计数器,一片8253上有3个独立的16位计数器通道,可以作为定时器或计数器使用,每个计数器都可设定为按照二进制或BCD码进行计数,计数速率可达2MHZ,每个计数器有6种工作方式,可编程设置和改变。

8253主要是由数据总线缓冲存储器,读写控制电路,控制字寄存器和3个通道4部分所组成。我们在此设计中用的是计数器2方式3,即地址为0B6H

2. 8255芯片

8255是一个40引脚的双列直插式集成电路芯片。按功能可把8255分为三个逻辑电路部分,即:口电路、总线接口电路和控制逻辑电路。8255共有三个8位口,其中A口和B 口是单纯的数据口,供数据I/O使用。而C口则既可以作数据口,又可以作控制口使用,用于实现A口和B口的控制功能。我们在实验中用的是PA输入PB输出,所用地址10010100B (094H)

四﹑数字频率计基本原理

1—数字频率计的原理

1)数字频率计是用于测量信号(方波、正弦波或其它脉冲信号)的频率,并用十进制数字显示,它具有精度高,测量迅速,读数方便等优点。频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1秒。闸门时间也可以大于或小于一秒。闸门时间越长,得到的频率值就越准确,但闸门时间越长每测一次频率的间隔就越长。闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。数字频率计是用数字显示被测信号频率的仪

器,被测信号可以是正弦波,方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率,转速,声音的频率以及产品的计件等等。

脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中,f 为被测信号的频率,N为计数器所累计的脉冲个数。 T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1S内记录1000个脉冲,则被测信号的频率为1000Hz。

晶振产生较高的标准频率,经分频器后可获得各种时基脉冲(1mS,10mS,0.1S,1S等),时基信号的选择由开关k控制。

用单稳态触发器产生指令和清零信号,对置零信号而言,当达到所调节的延时时间时,延时电路输出一个复位信号,使计数器和所有的触发器量0,为后续新的一次取样作好准备,即能锁住一次显示的时间,使保留到接受新的一次取样为止。

2)系统框图

从数字频率计的基本原理出发,根基设计要求,得到如图1所示的电路框图

图1. 电路系统框图

2—8088CPU的原理

8088 CPU是一块具有40条引出线的集成电路芯片,如图2所示。为了减少芯片的引线,有许多引线具有双重定义和功能,采用分时复用方式工作,即在不同时刻,这些引线上的信号是不相同的。同时,8088CPU上的MN/MX=1时,8088CPU工作在最小模式之下。此时,构成的微型机中只包括一个8088CPU,且系统总线由CPU的引线形成,微型机所用的芯片最少。当MN/MX=0时,8088CPU工作在最大模式之下。在此模式下,构成的微型计算机中除了有8088CPU之外,还可以接另外的CPU(如8087),构成多微处理器系统。

图2. 8088CPU管脚图数据总线D0~D15:双向传输,可分别使用其低8位或高8位,该总线与地址总线A0~A15共用CPU引脚形成复用总线AD0~AD15,地址、数据分时传送。

地址总线A0~A19:20位,单向,地址由CPU产生,用于寻址访问存储器单元或IO端口。A0~A15与D0~D15复用,A16~A19与状态信号S3~S6复用(A16/S3~A19/S6)。其中AD15~AD0地址/数据复用信号(标号2~16、39),双向,三态。

状态信号S3~S6:S6:指示8088当前是否与总线相连。S6=0表示8088连在总线上。S5:表示中断允许标志状态。S5=1表示中断允许标志IF=1(对可屏蔽中断请求起作用)。S5=0表示IF=0,禁止可屏蔽中断。S4和S3用来指出当前使用的段寄存器。S4、S3代码组合对应的含义如下表所示。

S4S3当前正使用的段寄存器

0 0 ES

0 1 SS

1 0 CS或未使用任何段寄存器

1 1 DS

RD(Read):读信号(标号32),输出,三态。RD信号有效,表示CPU执行一个对存储器或I/O端口的读操作,在一个读操作的总线周期中,RD在T2~T3状态中有效,为低电平。为0时,CPU作读操作。

WR(Write):写信号(标号29),输出,三态。WR信号有效,表示CPU执行一个对存储器或I/O端口写操作,在写操作总线周期中,WR在T2~T3状态中有效,为低电平。为0

时,CPU作写操作;M/IO,RD,WR组合成系统的存储器和端口的读写信号:MEMR,MEMW,IOR,IOW。高电平有效时,将地址存入外部地址锁存器。通常用RD#以及WR#信号控制存储器或I/O的读出和写入端。RD和WR指出CPU当前进行的是读还是写操作,它和M/IO信号一起,指出当前进行的是存储器读、I/O读、存储器写、I/O写四种操作中的哪一种。RD和WR信号除了在T2~T3状态中有效外,还在T W(等待)状态有效。下表为对存储器或I/O的读/写操作选择。

RD WR M/IO 对应的操作

0 1 0 I/O写操作

0 1 1 存储器写操作

1 0 0 I/O读操作

1 0 1 存储器读操作

3—8253的原理

8253是一种可编程的计数器/定时器芯片,如图3所示。8253内部具有3个独立的16位计数器通道,通过对它进行编程,每个计数器通道均有6种工作方式,并且都可以按2进制或10进制2种格式进行计数,最高计数频率能达到2MHz。8253还可用作可编程方波频率产生器、分频器、程控单脉冲发生器等。

图3. 8253管脚图

D0~D7:8位双向数据线,用来传送数据、控制字和计数器的计数初值。

CS:选片信号,输入。低电平有效,由系统高位I/O地址译码产生。

RD:读控制信号,输入,低电平有效,当它有效时表示CPU要对此定时器芯片进行读操作。

WR:写控制信号,输入,低电平有效,当它有效时表示CPU要对此定时器芯片进行写操作。

A 0,A1:地址信号线。

CLK0~CLK2:每个计数器的时钟信号输入端。

GATE0~GATE2:门控信号,用于控制计数的启动和停止,GA TE=1时计数允许,GA TE=0时停止计数。

OUT0~OUT2:计数器输出信号。

4—74LS48七段译码器

BCD---七段显示译码器(74LS48)

因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD码换成7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。

1)输入:8421BCD码,用A3 A2 A1 A0表示(4位)。2)输出:七段显示,用Ya ~ Yg 表示(7位)3)逻辑符号:

七段显示译码器

在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果;另一方面用于监视数字系统的工作情况。因此,数字显示电路是许多数字设备不可缺少的部分。数字显示电路通常由译码器、驱动器和显示器等部分组成,如图4所示。下面对显示器和译码驱动器分别进行介绍。

图4数字显示电路方框图

数码显示器是用来显示数字、文字或符号的器件,现在已有多种不同类型的产品,广泛应用于各种数字设备中,目前数码显示器件正朝着小型、低功耗、平面化方向发展。

数码的显示方式一般有三种:第一种是字形重叠式,它是将不同字符的电极重叠起来,要显示某字符,只须使相应的电极发亮即可,如辉光放电管、边光显示管等。第二种是分段式,数码是由分布在同一平面上若干段发光的笔划组成,如荧光数码管等。第三种是点阵式,它由一些按一定规律排列的可发光的点阵所组成,利用光点的不同组合便可显示不同的数码,如场致发光记分牌。

数字显示方式目前以分段式应用最普遍,下图表示七段式数字显示器利用不同发光段组合方式,显示0~15等阿拉伯数字。在实际应用中,10~15并不采用,而是用2位数字显示器进行显示。

图5 七段数字显示器发光段组合图

按发光物质不同,数码显示器可分为下列几类:

7448七段显示译码器

7448七段显示译码器输出高电平有效,用以驱动共阴极显示器。该集成显示译码器设有多个辅助控制端,以增强器件的功能。7448的功能表如下表所示,它有3个辅助控制端LT、RBI、BI/RBO,现简要说明如下:

灭灯输入BI/RBO

BI/RBO是特殊控制端,有时作为输入,有时作为输出。当BI/RBO作输入使用且BI=0时,无论其它输入端是什么电平,所有各段输入a~g均为0,所以字形熄灭。

试灯输入LT

当LT=0时,BI/RBO是输出端,且RBO=1,此时无论其它输入端是什么状态,所有各段输出a~g均为1,显示字形8。该输入端常用于检查7488本身及显示器的好坏。

7488功能表

动态灭零输入RBI

当LT=1,RBI=0且输入代码DCBA=0000时,各段输出a~g均为低电平,与BCD码相应的字形熄灭,故称“灭零”。利用LT=1与RBI=0可以实现某一位的“消隐”。此时BI/RBO 是输出端,且RBO=0。

动态灭零输出RBO

BI/RBO作为输出使用时,受控于LT和RBI。当LT=1且RBI=0,输入代码DCBA=0000时,RBO=0;若LT=0或者LT=1且RBI=1,则RBO=1。该端主要用于显示多位数字时,多个译码器之间的连接。

从功能表还可看出,对输入代码0000,译码条件是:LT和RBI同时等于1,而对其它输入代码则仅要求LT=1,这时候,译码器各段a~g输出的电平是由输入BCD码决定的,并且满足显示字形的要求。

5—频率测量方法

频率测量方法有M法,T法,M/T法,测量的基本要求是快速准确。(1)M法:测量计数在一定时间Tc内的信号脉冲数M。譬如,Tc=1秒,计数值M=1200,则信号频率为1200Hz;Tc=0.1秒,计数值M=1200,则信号频率为12000Hz。显然,M法适用于高频信号的测量。

(2)T法:测量一个完整脉冲的周期T,则此周期T的倒数就是待测频率。譬

如,测得T=0.1ms,则信号频率为10000Hz。显然,T适用于低频信号测量。

五、编写程序(设8253端口地址为40H~43H)

(1)8253初始化(五微秒信号)

FOR8253: MOV DX,0FFFBH

MOV AL,36H ;0111 0110 CT1,方式3

OUT DX,AL

MOV AL,76H ;0011 0000 CT0,方式0

OUT DX,AL

MOV DX,0FFF9H

MOV AX,18432 ;(6144) CT1 产生10ms方波

OUT DX,AL

MOV AL,AH

OUT DX,AL

MOV DX,0FFF8H

MOV AX,2 ; ;5ms脉冲

OUT DX,Al

MOV AL,AH

OUT DX,AL

RET

------------------------------------

8253初始化(一秒信号)

------------------------------------

FOR8253: MOV DX,0FFFBH

MOV AL,36H ;0111 0110 CT1,方式3

OUT DX,AL

MOV AL,76H ;0011 0000 CT0,方式0 ,

OUT DX,AL

MOV DX,0FFF9H

MOV AX,18432 ;(6144) CT1 产生10ms方波

OUT DX,AL

MOV AL,AH

OUT DX,AL

MOV DX,0FFF8H

MOV AX,100 ;1000 ;1s脉冲

OUT DX,Al

MOV AL,AH

OUT DX,AL

RET

------------------------------------

8253初始化(十秒信号)

------------------------------------

FOR8253b:MOV DX,0FFFBH

MOV AL,36H ;CT0,方式0

OUT DX,AL

MOV AL,076H ;CT1,方式3

OUT DX,AL

MOV DX,0FFF9H ;CT1

MOV AX,18432 ;(18432) 产生10ms方波 OUT DX,AL

MOV AL,AH

OUT DX,AL

MOV DX,0FFF8H ;CT0

MOV AX,1000 ;1000 ;10s脉冲

OUT DX,AL

MOV AL,AH

OUT DX,AL

RET

;------------------------------------

;控制CT2计数,设置频率范围

;-------------------------------------

FOR8253X: MOV DX,0FFFBH ;控制口地址

MOV AL,0B0H ;CT2,方式0

OUT DX,AL

MOV DX,0FFFAH ;CT2地址

MOV AX,65535 ;T2计数的最大值

OUT DX,AL

MOV AL,AH

OUT DX,AL

mov cx,10 ;延时

good: loop good

RET

;-------------------------------------

;二——十进制转化(高频无小数点,利用了M法测量);-----------------------------------

BTRO: MOV CL,6

XOR CH,CH

XOR DX,DX

MOV BX,10

MOV SI,OFFSET BUF

ADD SI,5 ;从低位到高位存储

NEXT: DIV BX

MOV [SI],DL ;除以10的余数存放低位

DEC SI

AND AX,AX

JZ STOP ;判断是否除尽

MOV DL,0

LOOP NEXT

STOP: RET

;-----------------------------------

;二——十进制转化(低频有小数点,利用了T法测量)

;-----------------------------------

BTROb:MOV CL,3

XOR CH,CH

XOR DX,DX

MOV BX,10

MOV SI,OFFSET BUF

ADD SI,5 ;使其从数码管的低位开始显示

DIV BX

MOV [SI],DL

DEC SI

MOV DL,0 ;将存放余数的清0

DIV BX

ADD DL,10 ;查表将该位的小数点显示出来

MOV [SI],DL

DEC SI

AND AX,AX ;检测商是否为0,若为0程序跳出,否则继续 JZ STOP1

MOV DL,0

NEXT1: DIV BX

MOV [SI],DL

DEC SI

AND AX,AX

JZ STOP1

MOV DL,0

LOOP NEXT1 ;由于数码管只能显示5为,则CL=3 STOP1: RET

(2)8255的有关内容

MOV AX,DATA

MOV DS,AX ;设数据段寄存器的值

MOV AX,STACK

MOV SS,AX ;设堆栈段寄存器的值

MOV DX,0FF03

MOV AL,80H

OUT DX,AL ;写入模式字

(3) LED显示

MOV AL,[DI+3] ;取要显示的数字

MOV BX,OFFSET LEDADD ;取显示代码表首址

XLAT ;将数字转换成显示代码 MOV 0FF00H,AL ;将显示代码送入A口 MOV DX,0FF02H

MOV DX,01H ;将0EH送入C口

CALL DELAY

MOV AL,[DI+2]

MOV BX,OFFSET LEDADD

XLAT

MOV 0FF00H,AL

MOV DX,0FF02H

MOV DX,03H

CALL DELAY

MOV AL,[DI+1]

MOV BX,OFFSET LEDADD

XLAT

MOV 0FF00H,AL

MOV DX,0FF02H

MOV DX,05H

CALL DELAY

MOV AL,[DI]

MOV BX,OFFSET LEDADD XLAT

MOV 0FF00H,AL

MOV DX,0FF02H

MOV DX,07H

CALL DELAY

DELAY MOV CX,0021H

P1: MOV DX,0006H

P2: DEC DX

JNZ P2

DEC CX

JNZ P1

RET

LEDADD: DB 40H

DB 79H

DB 24H

DB 30H

DB 19H

DB 12H

DB 02H

DB 78H

DB 00H

DB 10H

CODE ENDS

六.软件流程图

源程序

开始

关中断

数码管初始化

8259初始化

8253初始化

定计数初值

开中断

等待中断

显示

结束

七.电路原理图

八、设计总结

通过对这程序的制作,使我对汇编语言的基本知识的使用更加熟练,同时也增加了我对汇编语言的一些认识,在作业完成过程中通过和同学的交流,也增加了合作的技巧。通过查阅以下资料也学到了一些课本上没有的东西,拓宽了自己的知识面,增加了学好汇编语言的信心。通过为期两周的课程设计,完成了本次设计的技术指标,刚开始设计的时候,让我们体味到设计电路、连接电路、调测电路过程中的乐苦与甜。

通过此次课设,加强了我们的动手,思索和解决问题能力。熟悉了所常用的芯片比如8253,8259,74ls138等的应用,其中8259大纲的要求很少,通过课设,使我们掌握了中断的具体应用。通过对程序的编译,使我对汇编语言的基本知识的使用更加熟练,同时也增加了我对汇编语言的一些认识,在课设完成过程中通过和同学的交流,也增加了合作的技巧。通过查阅以下资料也学到了一些课本上没有的东西,拓宽了自己的知识面,更掌握了8086的调试环境制作过程是一个考验人耐心的过程,不能有丝毫的急躁,马虎,对电路的调试要一步一步来,不能急躁,因为是在电脑上调试,比较慢,又要求我们有一个比较正确的调试方法,像把频率调准等等。这又要我们要灵活处理,在不影响试验的前提下可以加快进度。合理的分配时间。在设计控制电路的时候,我们可以连接译码显示和计数电路,这样就加快了完成的进度。最重要的是要熟练地掌握课本上的知识,这样才能对试验中出现的问题进行分析解决。

九、参考文献

《微型计算机原理与接口计数》冯博琴吴宁主编

《电子器件常用器件应用手册》陈汝全主编

《数字电子基础》韩炎主编

《单片机应用入门》龙威林杨冠声主编

Verilog HDL数字频率计

目录 目录 第一章测量原理与方法 (2) 1.1测频方法 (2) 1.2测周方法 (3) 1.3等精度测量法 (3) 1.4放大整形电路 (4) 1.5时基信号产生 (5) 第二章任务要求 (6) 第三章各模块功能及介绍 (6) 3.1分频器 (6) 4.2闸门选择器 (8) 4.3频率计数器 (10) 4.4锁存器 (12) 4.5扫描显示控制译码系统 (13) 第四章顶层电路及总体仿真 (14) 4.1顶层电路 (14) 4.2总体仿真结果 (14) 4.3测试结果 (17) I

2 第一章 测量原理与方法 所谓“频率”,就是周期性信号在单位时间(秒)内变化的次数。若在一定的时间间隔T 内计数,计得某周期性信号的重复变化次数为N ,则该信号的频率可表达为: f = N / T 所以测量频率就要分别知道N 和T 的值,由此,测量频率的方法一般有三种:测频方法、测周方法和等精度测量。 1.1 测频方法 这种方法即已知时基信号(频率或周期确定)做门控信号,T 为已知量,然后在门控信号有效的时间段内进行输入脉冲的计数,原理图如下图所示: 图 1-1 测频方法原理图 首先,被测信号①(以正弦波为例)经过放大整形后转变成方波脉冲②,其重复频率等于被测信号频率。把方波脉冲②加到闸门的输入端。由一个高稳定的石英振荡器和一系列数字分频器组成了时基信号发生器,它输出时间基准(或频率基准)信号③去控制门控电路形成门控信号④,门控信号的作用时间T 是非常准确的(由石英振荡器决定)。门控信号控制闸门的开与闭,只有在闸门开通的时间内,方波脉冲②才能通过闸门成为被计数的脉冲⑤由计数器计数。闸门开 被 测 信计数器 ① ②

数字频率计的设计

长安大学 电子技术课程设计 数字频率计的设计 专业: 班级: 姓名 指导教师: 日期:

目录 引言 第一章系统概述 一、设计方案的选择 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 附录

引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1) 计数值N1 被测信号 标准闸门 T 图 1-1-1 测频法测量原理 设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:δf1=(f1-f)/f=1/f 从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

简易数字频率计

4.2.3简易数字频率计电路设计 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 一、设计目的 1. 了解数字频率计测量频率与测量周期的基本原理; 2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。 二、设计任务与要求 要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为: 1.测量范围:1HZ—9.999KHZ,闸门时间1s; 10 HZ—99.99KHZ,闸门时间0.1s; 100 HZ—999.9KHZ,闸门时间10ms; 1 KHZ—9999KHZ,闸门时间1ms; 2.显示方式:四位十进制数 3. 当被测信号的频率超出测量范围时,报警. 三、数字频率计基本原理及电路设计 所谓频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构如图4-2-6:

图4-2-6数字频率计原理图 从原理图可知,被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.放大整形电路 放大整形电路可以采用晶体管 3DGl00和74LS00,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 2.时基电路 时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。 (1)555多谐振荡电路产生时基脉冲 采用555产生1000HZ振荡脉冲的参考电路如图4-2-7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。 (2)分频电路 由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555振荡器产生1000HZ,周期为1ms的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用74LS90分别经过一级、二级、三级10分频得到。 图4-2-7 555多谐振荡电路 3. 逻辑控制电路 在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。脉冲信号Ⅳ和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得一负脉冲,其波形关系正好满足Ⅳ和V的要求。手动复位开关S按下时,计数器清“ 0 ”。参考电路如图4-2-8 图4-2-8数字频率计逻辑控制电路 4.锁存器 锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能.当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器

《用AT89C51制作八位数字频率计》源程序(精)

《用AT89C51制作八位数字频率计》一文的完整程序清单及注释 ORG 00H ;指定下条指令的地址 AJMP MAIN ;跳转至MAIN 50M INC 23H RETI ORG 001BH ;定时器T1中断入口,T1作定时,T0作计数 PUSH A ;累加器A压入堆栈 PUSH PSW ;状态寄存器压入堆栈 DJNZ 40H,JJ ;产生1s定时时标 MOV 40H,#0C8H DJNZ 41H,JJ CLR P3.1 ;关闭闸门 ANL 88H,#0AFH ;1s末,关闭T0和T1 MOV 20H,P1 MOV 21H,TL0 ;T0计数值送21H和22H MOV 22H,TH0 SETB P3.0 ;LS393清零 ACALL COUNT ;调用二进制转BCD码程序 JJ: POP PSW POP A RETI ORG 50H ;以下程序从地址50H开始 MAIN: MOV SP,#50H ;将初始值赋予SP MOV TH1,#06H ;将初始值赋予TH0

MOV TL1,#06H ;将初始值赋予TL0 MOV TMOD,#25H ;设定时器方式 SETB TR0 ;启动计数器0 SETB TR1 SETB EA SETB ET1 SETB ET0 MOV 40H,#0C8H MOV 41H,#28H MOV 30H,#78H MOV 31H,#56H MOV 32H,#34H MOV 33H,#12H MOV R2,#00H MOV 23H,#00H HERE: MOV DPTR,#TABLE ;动态扫描程序 CLR C MOV A,R2 RLC A JMP @A+DPTR TABLE: AJMP PG0 AJMP PG1 AJMP PG2 AJMP PG3 AJMP PG4 AJMP PG5

数字频率计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目:数字频率计 初始条件: 具备电子电路的基础知识和设计能力;具备查阅资料的基本方法;熟悉常用的电子器件;熟悉电子设计常用软件的使用; 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、设计频率检测电路; 2、测量信号与TTL电平兼容,频率范围:0HZ~100KHZ; 3、数码管显示频率; 4、掌握数字电路的设计及调试方法; 5、撰写符合学校要求的课程设计说明书。 时间安排: 时间一周,其中2天原理设计,3天电路调试 指导教师签名:年月日系主任(或责任教师)签名:年月日

摘要 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N 时,则被测信号的频率f=N/T。 本文介绍了一种测量仅与TTL电平兼容的信号的数字频率计,频率测量中直接测量的数字频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。其频率的测量范围为0HZ到100KHZ,此次设计频率计思路主要是利用计数原理,通过一定的时基控制电路能在1秒钟以内让计数器工作于计数状态,最后在1秒钟内将计数值进行锁存﹑输出﹑显示,即可得到待测信号频率,涉及到的集成芯片主要有十进制计数芯片74LS90﹑边沿控制锁存器74LS273﹑用于数码管显示的译码器CD4511﹑以及时基芯片555和双可重复单稳态触发器74LS123,共同完成了数字频率计的设计。 关键词:TTL电平兼容信号,计数,频率计

目录 摘要 1.数字频率计的设计总体方案 (1) 1.1数字频率计的简介 (1) 1.2电路方案设计 (2) 1.3方案的比较及选取 (4) 2.电路模块设计 (4) 2.1计数电路 (5) 2.2显示电路 (5) 2.3计时电路 (5) 3.系统总体电路图 (7) 3.1计数显示部分电路 (7) 3.2闸门逻辑控制电路 (8) 4.软件仿真图 ............................................................................................. 错误!未定义书签。 5.实物调试 (11) 5.1实物制作 (11) 5.2实物显示结果图 (11) 5.3误差分析 (11) 6.心得体会 (12) 7.参考文献 (14) 附录:原件清单 (14)

简易数字频率计--鉴定优秀

前言 数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以由有关传感器先转变成周期变化的电信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。因此它是一种测量范围较广的通用型数字仪器。 设计要求: 1.被测信号的频率范围100HZ~100KH; 2.输入信号为正弦信号或方波信号; 3.四位数码管显示所测频率,并用发光二极管表示单位; 4.具有超量程报警功能;

第一章系统概述 1.1基本原理 数字频率计的主要功能是测量周期信号的频率。频率是单位时间( 1S )内信号发生周期变化的次数。如果我们能在给定的 1S 时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。 1.2系统框图 系统框图: 图1数字频率计框图 1.3系统各部分的功能设计 1.3.1波形整形电路 0° 图2

1.3.2 分频器 U2A 4518BD_5V 1A 31B 41C 51D 6 EN12MR17CP1 1 图3(a ) 图3(b ) 分频器的作用是为了获得 1S 的标准时间。电路中首先用两片如图3(a )所示的分频器对经过整形后得到的 100Hz 信号进行 100 分频得到如图4( a )所示周期为 1S 的脉冲信号。然后再用D 触发器如图3(b )进行二分频得到如图4( b )所示占空比为 50 %脉冲宽度为 1S 的方波信号,由此获得测量频率的基准时间。利用此信号去打开与关闭控制门,可以获得在 1S 时间内通过控制门的被测脉冲的数目。 图4示波器输出波形 1.3.3 信号放大、波形整形电路 为了能测量不同电平值与波形的周期信号的频率,必须对被测信号进行放大与整形处理,使之成为能被计数器有效识别的脉冲信号。信号放大与波形整形电路的作用即在于此。信号放大可以采用一般的运算放大电路(如图5所示),波形整形采用555构成的施密特触发器(如图6所示)

数字频率计设计(PCB图+电路图+源程序)-课程设计

数字频率计设计(PCB图+电路图+源程序)-课程设计数字频率计设计开题报告 选题意义及国内外发展状况 本课题主要研究如何用单片机来设计数字频率计。因为在电子技术中,频率的测 量十分重要,这就要求频率计要不断的提高其测量的精度和速度。在科技以日新 月异的速度向前发展,经济全球一体化的社会中,简洁、高效、经济成为人们办 事的一大宗旨。在电子技术中这一点表现的尤为突出,人们在设计电路时, 都趋 向于用尽可能少的硬件来实现, 并且尽力把以前由硬件实现的功能部分, 通过软 件来解决。因为软件实现比硬件实现具有易修改的优点, 如简单地修改几行源代码就比在印制电路板上改变几条连线要容易得多, 故基于微处理器的电路往往比传统的电路设计具有更大的灵活性。 单片机就属于这一类设计电路,单片机因其功能独特和廉价已在全球有数???千 种成功的范例, 在国内也开发出了充电器、空调控制器、电子定时器、汽车防盗 器、卫星接收机以及各种智能仪表等实用产品。频率计也是单片机的一种很重要

的应用, 价格低廉且具有实际意义。虽然使用逻辑分析仪也可以很好的测量信号 的频率等参数,但其价格太昂贵。实现测量的数字化、自动化、智能化已成为各 类仪表设计的方向,而由单片机控制的、全自动的、数字显示的频率计就符合这 一设计理念。 说到用单片机设计的频率计,这里说一下单片频率计ICM7216D。单片频率计ICM7216D是美国Intersil公司首先研制的专用测频大规模集成芯片。它是标准的28引脚的双列直插式集成电路,采用单一的+5V稳压电源工作。它内含高频振荡器、10进制计数器、7段译码器、位多路复用器、能够直接驱动LED显示器的8段段码驱动器、8位位码驱动器。其基本的测频范围为DC至10MHz,若加预置的分频电路,则上限频率可达40MHz或100MHz,单片频率计ICM7216D只要加上晶振、量程选择、LED显示器等少数器件即可构成一个DC至40MHz的微型频率计,可用于频率测量、机械转速测量等方面的应用。还有,PTS2600是英国研制的一款微波频率计,该频率计可以测量频率高达26GHz的信号,而价格才只有几万元,可谓是物美价廉。PTS2600虽然是一个低价格的微波频率计,但它能在四个波段有很好的灵敏度测量40Hz到20GHz的频率。也可以用它来测量高达26GHz的频率,只是灵敏度稍稍低了一些。日常工作中,用它来测量 VF/VHF/UHF频段的频率,也十分方便和准确。PTS2600使用一个12位数字的LCD 液晶显示屏来显示所测得的频率、闸口时间(分辨率相关)、菜单功能以及频率表的测量 结果。所有这些数值都是同时显示在一个屏幕上的。PTS2600的机箱采用高标准的铝质材料制成,各模块安装在下方有钢板支承的母板上。模块相对独立,维修

数字频率计的设计

电子测量实训报告 姓名:X X X 院系:X X X X 学院 专业:07电子信息工程 学号: 指导教师: 完成时间: 2010 年 9月 7 日

目录 第1章引言 (3) 1.1数字频率计的概述 (3) 1.2设计任务 (3) 1.3设计目的 (4) 1.4设计方案 (4) 1.5频率计设计原理 (5) 第2章系统硬件设计 (5) 2.1电路原理图设计 (5) 2.2单元电路介绍 (6) 2.3 74LS90引脚及其说明 (8) 2.4 74LS47的介绍 (9) 2.5 74LS123的介绍 (10) 第3章硬件调试 (11) 第4章实训小结 (10) 第5章附录 (13) 附录1 硬件电路原理图和连接图 (13) 附录2 元器件清单 (14) 附录3 参考文献 (14)

数字频率计的设计 摘要:本实训报告是关于数字频率计设计的简要介绍。采用直接测频法的方案来完成本次实训设计。其组成部分有时基电路、闸门电路、逻辑控制电路以及可控制的计数、译码、显示电路。该设计主要用于数码管的显示功能,在四位LED数码管上对输入信号频率进行显示,并能够准确运行。 关键词:数字频率计、计数脉冲、单稳态电路、闸门电路、锁存、频率显示 第1章引言 1.1数字频率计的概述 数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波,方波,三角波和尖脉冲信号的频率,而且还可以测量他们的周期。数字频率计在测量其他物理量如转速、振荡频率等方面获得广泛应用。所谓频率,就是周期性信号在单位时间(1s)里变化的次数。若在一定时间间隔T内测得的这个周期性信号的重复变化次数N,则其频率可表示为:f =N/T。 1.2设计任务 设计一个数字频率计系统,频率在四位数码管上进行显示,如下图。从左到右依次为频率的千位、百位、十位、个位。 设计要求: (1)位数: 能计4位十进制数,计数位数主要取决于被测信号频率的高低,如果被测信号频率较高,精度又较高,可相应增加显示位数。 (2)量程: 最大读数为9999Hz,闸门信号的采样时间为1s。 (3)显示方式: 用七段LED数码管显示读数,做到显示稳定、不跳变。

数字频率计的设计

数字频率计的设计 摘要:采用STC89C52RC单片机作为系统的核心控制器件,该系统采用直流供电,由信号输入模块、信号相加模块、滤波模块、信号比较器模块,电平转换模块组成,具有信号输入、测信号频率、测量矩形方波占空比的功能,并且具有测量精度高功耗低、抗干扰能力强等特点。

1 方案设计与比较

信号混合电路模块 方案一:同相加法器。加法器是一种数位电路,其可进行信号的加法计算。加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。同相加法器输入阻抗高,输出阻抗低反相加法器输入阻抗低,输出阻抗高当选用同相加法器时,如A输入信号时,因为是同相加法器,输入阻抗高,这样信号不太容易流入加法器,反而更容易流入B端,而影响到B端的正常使用;同样,如B输入信号时,容易流入A端,而影响到A端的正常使用。 方案二:反相加法器。当选用反相加法器时,因为加法器输入阻抗低,不管是A端,还是B端信号,更容易流入加法器,而不会影响其它路的正常使用。 综上所述选择方案一。 滤波电路模块 方案一:选用有源二阶切比雪夫高通滤波器。切比雪夫滤波电路在通带或阻带上频率响应幅度等波纹波动的滤波器。切比雪夫滤波器在过渡带比巴特沃斯滤波器的衰减快,但频率响应的幅频特性不如后者平坦。切比雪夫滤波器和理想滤波器的频率响应曲线之间的误差最小,但是在通频带内存在幅度波动,有可能有纹波波动导致电压达到施密特触发器的上限或下限出发电平,导致误触发,输出方波可能严重失真。 方案二:选用有源二阶巴特沃斯高通滤波器。巴特沃斯滤波电路的幅频响应在通带中具有最平幅度特性没有起伏,而在阻频带则逐渐下降为零,由于巴特沃斯滤波电路的幅频响应曲线很平滑,没有起伏,可以有效规避施密特比较器中的误触发,所以选用幅频响应曲线最平滑的巴特沃斯型滤波器,可以有效规避误触发。 综上所述选择方案二。

multisim简易数字频率计

. . . . 哈尔滨工业大学 简易频率计的仿真设计

目录 1.设计要求 2. 总电路图及工作原理 3.电路组成介绍 3.1脉冲形成电路 3.2闸门电路 3.3时基电路 3.4计数译码显示电路 4. 电路的测试 5. 分析与评价 附录:元器件清单 1.设计要求 本次设计任务是要求设计一个简易的数字频率计,即用数字显示被测信号频率的仪 2

器,数字频率计的设计指标有: 1. 测量信号:正弦信号、方波信号等周期变化的物理信号; 2. 测量频率范围:0Hz~9999Hz; 3. 显示方式:4位十进制数显示。 2.电路工作原理 频率计总电路图如下所示: 2

频率计的基本原理:通过将被测周期信号整形为同频率的方波信号后,利用555定时器组成的振荡电路所产生的频率为1Hz的标准方波,作为基准时钟,与被整形后的方波信号一起经过闸门电路处理输入计数电路,再利用74LS90N的十进制计数功能进行级联计数,计数后输入8位数据/地址锁存器74LS273N以实现锁存和清零功能,最后输入到译码显示电路中,用BCD7段译码器显示出来,这样就实现了对被测周期信号的频率测量并显示的功能。 频率计的工作原理流程图如下所示: 3.电路组成介绍 3.1脉冲形成电路 脉冲形成电路由信号发生器与整形电路组成,输入信号先经过限幅器,再经过施密特触发器整形,当输入信号幅度较小时,限幅器的二极管均截止,不起限副作用。由555组成的施密特触发器对经过限幅器的信号进行整形得到标准的方波信号。线路图如下所示: 2

3.2闸门电路 闸门电路的作用是控制计数器的输入脉冲,在电路中用一个与非门来实现(如下图所标注)。当标准信号(正脉冲)来到时闸门开通,被侧信号的脉冲通过闸门进入计时器计数;正脉冲结束时闸门关闭,计数器无时钟脉冲输入。 闸门电路 2

数字频率计

燕山大学EDA课程设计报告书 题目:数字频率计

一、设计题目及要求 题目名称:数字频率计 要求: 1.输入为矩形脉冲,频率范围0~999KHz; 2.用3 位数码管显示;只显示最后的结果,不要将计数过程显示出来; 3.单位为Hz 和KHz 两档,自动切换,要有档位指示。 4. 超出测量范围,显示3 条短线“- - -”,且发出间隔为1s 的蜂鸣报警。 二、设计过程及内容 测量脉冲信号频率就是测量在单位时间内所产生的脉冲个数,所以在1S 时间内计数器所记录的结果,就是被测信号的频率。测量范围分别是0~999HZ、1~999kHZ,在kHZ档时,LED灯亮。 我们将数字频率计分为六个模块,分别是366分频模块,二分频模块,计数模块,选择模块,扫描模块,报警模块。 1、总体电路图如下: 右侧saomiao模块的输出端ABCDEF与计数器高位的进位输出端取非后相

与,再接接入实验箱,G和进位输出端相或,再接实验箱,实现在超出量程时显示“---”。 2、各部分电路图及功能 (1)分频模块 所选实验箱时钟信号频率为366HZ,为产生周期为两秒、占空比为1:2的时钟信号,需将366HZ的信号先经过366分频,产生周期为1HZ的信号,再经过二分频产生占空比符合要求的时钟信号。 366分频模块电路图: 功能说明:本模块使用三片74160级联构成366进制计数器,将输入的366HZ信号分频为1HZ,占空比为1:366的信号。 二分频模块电路图; 功能说明:使用边沿D触发器构成二分频,在输入信号的上升沿输出一秒的高电平或低电平,形成占空比1:2的时钟信号。

(2)计数器模块 功能说明:本模块共使用8片74160,上部的7片74160构成十进制计数器,左侧的三片计数器实现档位0~999HZ的计数,超出范围后将会有高电平的进位输出,使LED端产生周期为1s的脉冲,即LED灯进行1s的闪烁,标志着此时档位为kHZ。右侧三片实现kHZ档位计数,当低三位最后一个计数器产生进位时,高三位的输出端取或时SEL就会输出高电平,连接二选一模块进行档位选择。当高位输出产生进位时,最后一块产生报警信号的输出DD,为报警模块提供输入。 (3)数据选择器模块 功能说明:输出的档位由输入SEL控制,当SEL=0时,数据选择器选择A 输出即高三位KHZ档;当SEL=1时选择B输出即低三位HZ档。并利用74273在计数1s后输入数据,进行锁存,实现只显示最后的结果,不显示计数过程。其中1S的时钟信号加非门输出端,实现了数据的保存和输出。

数字频率计(51单片机)

自动化与电子工程学院单片机课程设计 报告 课程名称:单片机原理与应用 学院:自动化与电子工程院 专业班级: 学生姓名: 完成时间: 报告成绩:

目录 第1章数字频率计概述 (2) 1.1数字频率计概述 (1) 1.2数字频率计的基本原理 (1) 1.3单脉冲测量原理 (2) 第2章课程设计方案设计 (2) 2.1系统方案的总体论述 (2) 2.2系统硬件的总体设计 (3) 2.3处理方法 (3) 第3章硬件设计 (4) 3.1单片机最小系统 (4) 第4章软件设计 (5) 4.1系统的软件流程图 (5) 4.2程序清单 (7) 第5章课程设计总结 (7) 参考文献 (8) 附录Ⅰ仿真截图 (9) 附录Ⅱ程序清单 (15)

第1章数字频率计概述 1.1数字频率计概述 数字频率计又称为数字频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量方波信号及其他各种单位时间内变化的物理量。 本数字频率计将采用定时、计数的方法测量频率,采用6个数码管显示6位十进制数。测量范围从10Hz—5.5kHz,精度为1%,用单片机实现自动测量功能。 基本设计原理是直接用十进制数字显示被测信号频率的一种测量装置。它以测量频率的方法对方波的频率进行自动的测量。 1.2数字频率计的基本原理 数字频率计最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T(如图1.1所示)。 图1.1 频率测量原理 频率的测量实际上就是在1s时间内对信号进行计数,计数值就是信号频率。用单片机设计频率计通常采用的办法是使用单片机自带的计数器对输入脉冲进行计数;好处是设计出的频率计系统结构和程序编写简单,成本低廉,不需要外部计数器,直接利用所给的单片机最小系统就可以实现。缺陷是受限于单片机计数的晶振频率,输入的时钟频率通常是单片机晶振频率的几分之一甚至是几十分之一,在本次设计使用的AT89C51单片机,由于检测一个由“1”到“0”的跳变需要两个机器周期,前一个机器周期测出“1”,后一个周期测出“0”。故输入时钟信号的最高频率不得超过单片机晶振频率的二十四分之一。根

数字频率计实验报告

大连理工大学城市学院数字电路与系统课程设计设计题目:数字频率计 学院:电子与自动化学院 专业:自动化 学生:揣智涵 同组人:王晓宁周英茹 指导教师:于海霞 完成日期: 2012年3月26日

目录 第一章设计任务 1.1项目名称 1.2项目设计说明 1.2.1设计任务和要求 1.2.2进度安排 1.3项目总体功能模块图 第二章需求分析 2.1问题基本描述 (要求分析得出整个系统流程图) 2.2系统模块分解及各模块功能的基本要求第三章设计原理 3.1 设计原理 3.2 MAXPLUSII介绍 第四章系统功能模块设计 4.1 FEN模块 4.1.1 FEN模块流程图 4.1.2 输入输出引脚及其功能说明 4.1.3 程序代码实现 4.2 SEL模块 4.2.1 SEL模块流程图 4.2.2输入输出引脚及其功能说明 4.2.3程序代码实现

4.3 CORNA模块 4.3.1 CORNA模块流程图 4.3.2 输入输出引脚及其功能说明 4.3.3 程序代码实现 4.4 LOCK模块 4.4.1 LOCK模块流程图 4.4.2 输入输出引脚及其功能说明 4.4.3 程序代码实现 4.5 CH模块 4.5.1 输入输出引脚及其功能说明 4.5.2 程序代码实现 4.6 DISP模块 4.6.1 输入输出引脚及其功能说明 4.6.2 程序代码实现 第五章调试并分析结果 5.1输入说明 5.2预计输出 5.3测试结果记录 5.4测试结果分析 第六章结论 心得体会 参考文献

第一章设计任务 1.1 项目名称:数字频率计 1.2 项目设计说明 1.2.1 设计任务和要求 此频率计共分4档: 一档:0~9999Hz; 二档:10~99.99kHZ; 三档:100.0~999.9kHz;, 四档:1.000~999MHz; 在换挡的设计方面,此程序突破了以往改变闸门时间的方法,使自动换挡的实现更加简单可靠。 1.2.2 进度安排 第一节课:画出模块及程序流程图 第二节课:调试各模块程序使其无误 第三节课:连接整个程序并下载到试验箱是数字频率计的功能实现 第四节课:改进程序设计实现创新,然后完成课程设计报告 第五节课:完成答辩 1.3 项目总体功能模块图如下

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

multisim简易数字频率计

哈尔滨工业大学 简易频率计的仿真设计

目录 1设计要求 2?总电路图及工作原理 3?电路组成介绍 3.1脉冲形成电路 3.2闸门电路 3.3时基电路 3.4计数译码显示电路 4.电路的测试 5.分析与评价 附录:元器件清单

1 ?设计要求 本次设计任务是要求设计一个简易的数字频率计,即用数字显示被测信号频率的仪

器,数字频率计的设计指标有: 1. 测量信号:正弦信号、方波信号等周期变化的物理信号; 2. 测量频率范围:0Hz~9999Hz 3. 显示方式:4位十进制数显示。 2. 电路工作原理 频率计总电路图如下所示: 2単汙汕驚工 ---------- k

频率计的基本原理:通过将被测周期信号整形为同频率的方波信号后,利用555定时器组成的振荡电路所产生的频率为1Hz的标准方波,作为基准时钟,与被整形后的方波信号一起经过闸门电路处理输入计数电路,再利用74LS90N的十进制计数功能进行级联计数,计数后输入8位数据/地址锁存器74LS273N以实现锁存和清零功能,最后输入到译码显示电路中,用BCD7段译码器显示出来,这样就实现了对被测周期信号的频率测量并显示的功能。 频率计的工作原理流程图如下所示: 3. 电路组成介绍 3.1脉冲形成电路 脉冲形成电路由信号发生器与整形电路组成,输入信号先经过限幅器,再经过施密特 触发器整形,当输入信号幅度较小时,限幅器的二极管均截止,不起限副作用。由555组成的施密特触发器对经过限幅器的信号进行整形得到标准的方波信号。线路图如下所示:

L 1 1 r 1 r 闸门电路 3.2闸门电路 闸门电路的作用是控制计数器的输入脉冲,在电路中用一个与非门来实现(如下图所 标注)。当标准信号(正脉冲)来到时闸门开通,被侧信号的脉冲通过闸门进入计时器计 数;正脉冲结束时闸门关闭,计数器无时钟脉冲输入 72 R2 VA - IS. THZ R 71 C5 lOnF ZFG ■ 丄 D1 X1 N?07 75 D2 jtl NdOOT

简易数字频率计

宁波工程学院 电子信息工程学院 课程设计报告 课程设计题目:简易数字频率计 起讫时间:2011年05月23日至2011年06月03日

目录第一章技术指标 1.1整体功能要求 1.2电气指标 1.3扩展指标 1.4设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图 2.3 计数原理 第三章单元电路设计 3.1 波形变换电路 3.2 闸门电路设计 3.3小数点显示电路设计 第四章测试与调整 4.1 硬件测试与调整 4.2 软件测试与调整 4.3 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进 5.3心得体会 附录

第一章技术指标 1.1整体功能要求 设计并制作一台数字显示的简易频率计,主要用于测量正弦波、方波等周期 信号的频率值。 1.2 电气指标 1.2.1 信号波形:方波; 1.2.2 信号幅度;TTL电平; 1.2.3 信号频率:100Hz~9999Hz; 1.2.4 测量误差:≤1%; 1.2.5 测量时间:≤1s/次,连续测量; 1.2.6 显示:4位有效数字,可用数码管,LED或LCD显示。 1.3扩展指标 1.3.1 可以测量正弦波信号的频率,电压峰-峰值VPP=0.1~5V; 1.3.2 方波测量时频率测量上限为3MHz,测量误差≤1%; 1.3.3 正弦(Vopp=0.1V~5V)测量时频率测量上限为3MHz,测量误差≤1%; 1.3.4量程自动切换,且自动切换为四位有效数字输出; 1.4设计条件 1.4.1 电源条件:+5V。 1.4.2开发平台:本系统以高速SOC单片机C8051F360和FPGAEP2C8T144为 核心,主要包括9个模块,其主要配置见表1-1。 表1-1数字电子系统设计实验平台模块一览 型号名称主要配置 MCU模块SOC单片机8051F360,CPLD芯片EMP3064TC44 74151 FPGA模块EMP3064TC44,串行配置芯片,JTAG和AS配置 接口 74153 LCD和键盘模块12864中文液晶,16个按键 7404 8位高速A/D模块30MHz8位A/D转换器ADS930,信号调理电路4518 10位高速D/A模块双路100MHz10位D/A转换器THS5651,差分放 大电路,反相器

数字频率计设计 毕业设计

毕业设计(论文)任务书 课题名称数字频率设计课题性质毕业论文 专业楼宇智能化工程技术班级 11级学生姓名学号 113121 指导教师教研室主任系部主任 发放日期 一、课题条件: 1.分析频率计的设计方法; 2.利用现有的仿真软件进行波形仿真; 二、毕业论文(设计)主要内容: 1、测量信号:方波; 2、测量频率范围:1KHZ~9999HZ;10KHZ~100KHZ; 3、显示方式:4位十进制数显示; 4、时基电路由555定时器及分频器组成,555振荡器产生脉冲信号,经分频器分频产生的时基信号,其脉冲宽度分别为:1秒,0.1秒; 5、当被测信号的频率超出测量范围时,报警。 三、计划进度: 1. 资料的收集撰写开题报告 7月18日至9月8日 2. 方案设计 9月9日至9月15日 3. 电路的设计指标分析与确定;后期的电路优化元器件的选择与参数确定 9月16日至11月2日 4. 毕业设计论文的修改、完善 11月3日至11月10日 5. 毕业设计答辩11月15 日至11月20日 6. 毕业设计工作总结11月20日至11月25日 四、主要参考文献: (1)电子技术基础(第三版) (2)电子产品的设计与制作工艺 (3)电子设计技术杂志 (4)现代电子学及应用1 (5)AD (6)数字电子技术基础阎石主编高等教育出版社 指导教师(系)教研室主任 年月日年月日

摘要 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N 时,则被测信号的频率f=N/T。 频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 常用的频率测量方法有测频法、测周法、测周期/频率法、F/V与A/D法。本文阐述了用测频法构成的数字频率计。 关键词:逻辑控制,计数器,时基(T)电路、输入电路、计数显示电路以及控制电路。

相关文档