文档库 最新最全的文档下载
当前位置:文档库 › 数字电路设计试题湖南大学版

数字电路设计试题湖南大学版

数字电路设计试题湖南大学版
数字电路设计试题湖南大学版

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型

二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分)

1、如果逻辑函数表达式在一定条件下可变成X+X‘或者X X’的形式,则该函数表达式可能产生冒险。(√)

2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√)

3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×)

5、门电路的扇出是表示输出电压与输入电压之间的关系。(×)

门电路所具有的输入端的数目称为扇入。

扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。

三、简答题(每题5分,共10分)

1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。

2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快?为什么?

四、应用题(共70分)

1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分)

答:第三位出错,应该是1100110

2、用卡诺图化简下列函数:(5分)

3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分)

(1)列出真值表(5分)

(2)写出最简的输出逻辑表达式(5分)

4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分)

5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分)

注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274

6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分)

1)作出状态/输出表(5分)。

2)说明它是Mealy机还是Moore机(2分)

3)说明这个电路能对何种输入序列进行检测。(3分)

答案没有找到。同类型题7.12

7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为“0101”时,输出为1;并规定检测的“0101”序列不重叠。典型输入输出序列如下:(10分)

输入X:1 1 0 1 0 1 0 1 0 0 1 1

输出Z:0 0 0 0 0 1 0 0 0 0 0 0

(这不是答案,但是答案的格式)

8、某异步时序电路的流程表如表。作出输入X

2X

1

变化序列为00—01—11—10—11—01

—00时的总态响应序列。(10分)

现态

Y 2Y

1

次态(Y

2

*Y

1

*)/输出(Z)

X

2

X

1

=00 X

2

X

1

=01 X

2

X

1

=11 X

2

X

1

=10

00

01 11 10

/0

00/0

00/0

00/0

01/0

/0

01/0

00/1

01/0

/0

10/0

/1

10/0

11/0

/0

/1

00

01 01

11

10 10

数字电路与逻辑设计试卷(有答案)

数字电路与逻辑设计(A 卷) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记

数字电路与逻辑设计实验实验四

中山大学南方学院 电气与计算机工程学院 课程名称:数字电路与逻辑设计实验实验题目:译码显示电路

附:实验报告 专业:电子信息科学与技术年级:18 完成日期:2020年7月05日学号:182018010 姓名:叶健行成绩: 一、实验目的 (一)掌握中规模集成译码器的逻辑功能和使用方法。 (二)熟悉数码管的使用。 二、实验原理 (一)数码显示译码器 1、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图1 (a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 (a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图1 LED 数码管 2、BCD 码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。图2为74LS48引脚排列。 其中 A 、B 、C 、D — BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 (二)扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds 的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片 LED

数字电路与逻辑设计II答案(A卷)

华南农业大学期末考试试卷(A卷) 2005学年第二学期考试科目:数字电路与逻辑设计Ⅱ_ 考试类型:(闭卷)考试时间: 120__ 学号姓名年级专业____________ 一.选择题(下列每题有且仅有一个正确答案,每题2分,共20分)1.N个触发器可以构成能寄存位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 2.一个触发器可记录一位二进制代码,它有个稳态。 A.0 B.1 C.2 D.3 E.4 3.存储8位二进制信息要个触发器。 A.2 B.3 C.4 D.8 4.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。 A.4 B.5 C.9 D.20 5.下列逻辑电路中为时序逻辑电路的是。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 6.N个触发器可以构成最大计数长度(进制数)为的计数器。 N A.N B.2N C.N2 D.2 7.N个触发器可以构成能寄存位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 8.五个D触发器构成环形计数器,其计数长度为。 A.5 B.10 C.25 D.32 9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步二进制计数器,最少应使用级触发器。 A.2 B.3 C.4 D.8 10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。

A.1 B.2 C.4 D.8 11.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需片。 A.3 B.4 C.5 D.10 12.若要设计一个脉冲序列为1101001110的序列脉冲发生器,应选用个触发器。 A.2 B.3 C.4 D.10 13.随机存取存储器具有功能。 A.读/写 B.无读/写 C.只读 D.只写 14.只读存储器ROM在运行时具有功能。 A.读/无写 B.无读/写 C.读/写 D.无读/无写 15.只读存储器ROM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为0 C.不可预料 D.保持不变 16.随机存取存储器RAM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为1 C.不确定 D.保持不变 17.一个容量为512×1的静态RAM具有。 A.地址线9根,数据线1根 B.地址线1根,数据线9根 C.地址线512根,数据线9根 D.地址线9根,数据线512根 18.PROM的与陈列(地址译码器)是。 A.可编程阵列 B.不可编程阵列 C.可编程阵列 D.不可编程阵列 19.PROM和PAL的结构是。 A.PROM的与阵列固定,不可编程 B. PROM与阵列、或阵列均不可编程 C.PAL与阵列、或阵列均可编程 D. PAL的与阵列可编程 20.PLD器件的基本结构组成有。 A. 与阵列 B.或阵列 C.输入缓冲电路 D.输出电路 21.只可进行一次编程的可编程器件有。 A.PAL B.GAL C.PROM D.PLD 22.可重复进行编程的可编程器件有。 A.PAL B.GAL C.PROM D.ISP-PLD 23.全场可编程(与、或阵列皆可编程)的可编程逻辑器件有。 A.PAL B.GAL C.PROM D.PLA 24.

数字电路与逻辑设计试题四及答案

《数字集成电路基础》试题四 (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、 填空题(共30分) 1. PN 结具有单向导电性。正向偏置时,多子以 __________________运动为主,形成正向电流;反向偏置时,少子____________________运动形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、_____、_____。 3. 除去高、低电平两种输出状态外,三态门的第三态输出称为________状态。 4. 十进制数238转换成二进制数是______;十六进制数是_______。 5. 组合逻辑电路不存在输出到输入的________通路,因此其输出状态不影响输入状态。 6. 对于上升沿触发的D 触发器,它的次态仅取决于CP ______沿到达时________的状态。 7. 同步RS 触发器的特性方程为Q n+1=____________;约束方程为_________。 8. 下图所示电路中,Y 1 =__________;Y 2 =____________;Y 3 二、选择题(共 15分) B V C C Y 1

1. 下列函数中,是最小项表达式形式的是_________ A. Y=A+BC B. Y 2 =ABC+ACD C. Y=AB C+ABC D. Y=A BC+ABC 2. 对于四位二进制译码器,其相应的输出端共有________ A . 4个 B. 16个 C. 8个 D. 10个 3.用8421码表示的十进制数45,可以写成__________ A . 45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.属于组合逻辑电路的是___________ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.某计数器的状态转换图如下,其计数的容量为______ A . 八 B. 五 C. 四 D. 三 三、化简下列逻辑函数,写出最简与或表达式(共15分) 1. Y 1=AB +AC +A BC 2. Y 2=Σm (0,2,3,4,5,7) 3. Y 3见图

数字电路与逻辑设计试卷 (1)

《数字逻辑电路》习题及参考答案 一、单项选择题 1.下列四个数中最大的数是( B ) A.(AF)16 B.(001010000010)8421BCD C.(10100000)2 D.(198)10 2.将代码(10000011)8421BCD 转换成二进制数为( B ) A.(01000011)2 B.(01010011)2 C.(10000011)2 D.(000100110001)2 3.N 个变量的逻辑函数应该有最小项( C ) A.2n 个 B.n2 个 C.2n 个 D. (2n-1)个 4.下列关于异或运算的式子中,不正确的是( B ) A.A ⊕ A=0 B. A ⊕A =0 C.A ⊕ 0=A D.A ⊕ 1= A 5.下图所示逻辑图输出为“1”时,输入变量( C ) ABCD 取值组合为 A.0000 B.0101 C.1110 D.1111 6.下列各门电路中,( B )的输出端可直接相连,实现线与。 A.一般TTL 与非门 B.集电极开路TTL 与非门 C.一般CMOS 与非门 D.一般TTL 或非门 7.下列各触发器中,图( B )触发器的输入、输出信号波形图如下图所示。 8.n 位触发器构成的扭环形计数器,其无关状态数有( B )个。 A.2n-n B.2n-2n C.2n D.2n-1

n 9.下列门电路属于双极型的是 ( A ) A.OC 门 B.PMOS C.NMOS D.CMOS 10.对于钟控 RS 触发器,若要求其输出“0”状态不变,则输入的 RS 信号应为( A ) A.RS=X0 B.RS=0X C.RS=X1 D.RS=1X 11.下列时序电路的状态图中,具有自启动功能的是( B ) 12.多谐振荡器与单稳态触发器的区别之一是( C ) A.前者有 2 个稳态,后者只有 1 个稳态 B.前者没有稳态,后者有 2 个稳态 C.前者没有稳态,后者只有 1 个稳态 D.两者均只有 1 个稳态,但后者的稳态需要一定的外界信号维持 13.欲得到 D 触发器的功能,以下诸图中唯有图( A )是正确的。 14.时序逻辑电路的一般结构由组合电路与( B )组成。 A .全加器 B .存储电路 C .译码器 D .选择器 15.函数 F= AB +AB 转换成或非-或非式为( B ) A. A + B + A + B B. A + B + A + B C. AB + AB D. A + B + A + B 16.图示触发器电路的特征方程 Q n+1 =( A ) A.T Q + TQ n B. TQ +TQ n n C. Q

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

专升本《数字电路与逻辑设计》_试卷_答案

专升本《数字电路与逻辑设计》 一、(共75题,共150分) 1. 十进制数用二进制表示应为:()(2分) B.1100.11 C. 标准答案:B 2. 无符号位的十六进制数减法(A9)l6-(8A)16=()(2分) A.(19)16 B.(1F)l6 C.(25)16 D.(29)16 标准答案:B 3. 十进制数15用2421 BCD 码可以表示为()。(2分) .01001000 C 标准答案:C 4. 8421 BCD码对应的二进制数为 ( ) (2分) B.110011.10 C. 标准答案:B 5. 二进制数-0110的反码是(最高位是符号位)()(2分) .11001 C 标准答案:B 6. 如果状态A与B,C与D分别构成等效对,那么能构成状态等效类的是()(2分)标准答案:A 7. 四个变量可以构成多少个最小项()(2分) 个个个个 标准答案:D 8. 逻辑函数Y=可化简为:( ) (2分)A. B. +AB +AC 标准答案:D 9. 逻辑函数F(A,B,C) = AB+BC+AC的标准表达式是( ) (2分) A.∑m(3,5,6,7) B.∑m(0,1,2,4) C.∏m(1,3,5,7) D.∑M(0,2,4,6) 标准答案:A 10. 函数,则其反函数( ) (2分)A. B. C. D. 标准答案:B 11. 逻辑函数等于()(2分) A. 标准答案:B 12. 三变量构成的逻辑函数的最小项m1和最小项m7一定满足( ) (2分) A. B.

C. D. 标准答案:C 13. 下图为OC门组成的线与电路其输出F为(2分) C. D. 标准答案:B 14. 要求RS触发器(R、S均为高电平有效)状态由0 →1,其输入信号为()。(2分)=01 =1 C=d0 =10 标准答案:A 15. JK触发器的J=K=1,当触发信号到来时,输出次态Qn+1为:( ) (2分) B.0 C.不变 D.与现态相反 标准答案:D 16. 设计—个1位十进制计数器至少需要多少个触发器( ) (2分) 个个个个 标准答案:B 17. T型触发器当时钟脉冲输入时,其输出状态()(2分) A.保持不变 B.在T=1时会发生改变 C.等于输入端T的值 D.随时间改变 标准答案:B 18. 移位寄存器74194工作在左移串行输入方式时,S1 S0的取值为( ) (2分) .01 C 标准答案:C 19. LED共阴极七段显示器可由下列哪一个IC来推动七字节较适宜()(2分) .7447 C 标准答案:C 20. 电源电压为+12V的555集成定时器中放电三极管工作在截止状态,输出端OUT为1时,其TH 和TR的输入电压值分别为 ( ) (2分) A., 和TR 均大于 C., 和TR 均小于 标准答案:A 21. 逻辑函数,是F的对偶函数,则()。(2分) A. B. C. D. 标准答案:A,C,D 22. 下列逻辑表达式中正确的有()。(2分) A. B. C. D. 标准答案:B,C,D

数字电路逻辑设计2016-2017-1-A

11. 以下式子中不正确的是() A. 1?A=A B. A+A=A C. 1+A=1 D.B A B A+ = + 12.一个逻辑函数可以有多种不同的逻辑表达式,F(A,B,C) 是:() A.“与非―与非”式 B.“或非―或非”式 C.“与―或―非”式 D.“与―或”式 13. 下列选项中,( ) 是三态门的逻辑符号。 14. 四个触发器组成的环行计数器最多有( )个有效状态。 A. 4 B. 8 C. 16 D. 32 15. 一只四输入端或非门,使其输出为0的输入变量取值组合有( )种。 A.1 B.4 C.8 D.16 16.在下列逻辑电路中,不是组合逻辑电路的有()。 A. 锁存器 B.编码器 C.全加器 D. 选择器 17. 请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 18.下列选项中,不能实现Q n+1=n Q。( ) 19. 函数F(A,B,C)=AB+BC+AC的最小项表达式为( ) 。 A.F(A,B,C)=∑m(0,2,4) B. (A,B,C)=∑m(3,5,6,7) C.F(A,B,C)=∑m(0,2,3,4) D. F(A,B,C)=∑m(2,4,6,7) 20. 以下表达式中符合逻辑运算法则的是()。 A. A+1=1 B.1+1=10 C.0<1 D. C·C=C2 二、填空题(本题每空1分,共14分) 1.逻辑代数的三个基本逻辑运算是()、()、()运算。 2.逻辑代数的三个基本规则是()()()。 3.逻辑函数的反函数F=(),其对偶式F’=( )。 4. 逻辑函数表达式中,()是基本的表达式,易于转换成其它形式。 5.数字电路中的三态门电路的三态指的是高电平、低电平、和()状态。 6.数字电路中,当一个逻辑门的两个输入端的信号同时向相反方向变化,而变化的时间有差异的现象称(),由此而可能产生输出干扰脉冲的现象称为()。 7.锁存器与触发器都是具有0 和1两个稳定状态,一旦状态被确定,就能自行保持,锁存器是对()敏感、在其作用下改变状态的存储电路; 8.触发器是对()敏感、在其作用下改变状态的存储电路。 B A C A+ + + = B C A AB F+ + =

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

数字电路与逻辑设计模拟试卷一

北京语言大学网络教育学院 《数字电路与逻辑设计》模拟试卷一 注意: 1、试卷保密,考生不得将试卷带出考场或撕页,否则成绩作废。请监考老师负责监督。 2、请各位考生注意考试纪律,考试作弊全部成绩以零分计算。 3、本试卷满分100分,答题时间为90分钟。 4、本试卷分为试题卷和答题卷,所有答案必须答在答题卷上,答在试题卷上不给分。 一、单项选择题(本大题共10小题,每小题2分,共20分)在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在答题卷相应题号处。 1、下列四个数中,最大的数是()。 [A] (AF)16[B] (001010000010)8421BCD [C] (10100000)2[D] (198)10 2、触发器有两个稳态,存储8位二进制信息要()个触发器。 [A] 2 [B] 8 [C] 16 [D] 32 3、下列门电路属于双极型的是()。 [A] OC门[B] PMOS [C] NMOS [D] CMOS 4、对于钟控RS触发器,若要求其输出“0”状态不变,则输入的RS信号应为()。 [A] RS=X0 [B] RS=0X [C] RS=X1 [D] RS=1X 5、以下各电路中,()可以产生脉冲定时。 [A] 多谐振荡器[B] 单稳态触发器 [C] 施密特触发器[D] 石英晶体多谐振荡器 6、下列逻辑电路中为时序逻辑电路的是()。 [A] 变量译码器[B] 加法器[C] 数码寄存器[D] 数据选择器 7、同步时序电路和异步时序电路比较,其差异在于后者()。 [A] 没有触发器[B] 没有统一的时钟脉冲控制 [C] 没有稳定状态[D] 输出只与内部状态有关 8、当用专用输出结构的P A L设计时序逻辑电路时,必须还要具备有()。 [A] 触发器[B] 晶体管[C] M O S管[D] 电容 9、当用异步I/O输出结构的P A L设计逻辑电路时,它们相当于()。 [A] 组合逻辑电路[B] 时序逻辑电路 [C] 存储器[D] 数模转换器 10、要构成容量为4K×8的RAM,需要()片容量为256×4的RAM。 [A] 2 [B] 4 [C] 8 [D] 32

数字电路逻辑设计试卷

数字电路逻辑设计(A 卷) 一、填空题(本大题共22分) 1、(本小题3分)十进制数 126,对应8421BCD 码 ,二进制数 ,十六进制 数 。 2、(本小题2分)二进制负整数 –1011011,补码表示为 ;反码表示为 。 3、(本小题4分)逻辑函数BD AD B A D A F +++=))((的反演式为 ;对偶式为 。 4、(本小题2分)三输入端TTL 与非门如图所示,图中A 点的电位为 F 点的电位为 。 5、(本小题3分)八选一数据选择器电路如图,该电路实现的逻辑函数是F= 。 6、(本小题3分)由集成异步计数器74LS290构成图示电路,该电路实现的是 进制计数器。 +5V 0.3V F A & 2K Ω 3K Ω 八选一数据选择器 A 0 A 1 A 2 D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 F A B “1” Q 0 Q 1 Q 2 Q 3

7、(本小题3分)逻辑函数AC C B B A F+ + =,它的与非表达式为F= ;与或非表达式为F= ;或非—或非表达式为F= 。 8、(本小题2分)用555设计的多谐振荡器,要求振荡周期T=1~10s,电容C=100μF。则电阻R的 范围是。 二、(本题10分)图示电路中,A、B是输入数据变量,C3、C2、C1、C0是控制变量。写出输出Y的逻 辑表达式,并说明该电路C3、C2、C1、C0为不同控制状态时是何种功能电路? 三、(本题8分)写出图示ROM阵列输出函数的逻辑表达式,列出真值表,说明逻辑功能。 四、(本题8分)用3线—8线译码器和必要的门电路实现逻辑函数。 (,,) F A B C ABC BC A C =++ 五、(本题10分)已知JK信号如图所示,请分别画出主从JK触发器和负边沿JK触发器的输出波形。设 触发器初始状态为0。 1 A0 1 A1 1 A2 F1 F0 CP J

北邮-数字电路与逻辑设计实验-实验报告(上)

北京邮电大学电路实验中心<数字电路与逻辑设计实验(上)> 实 验 报 告 班级: xxxx 学院: xxx 实验室: xxx 审阅教师:姓名(班内序号): xxx 学号: xxx 实验时间: xxx 评定成绩:

目录 实验1 Quartus II 原理图输入法设计与实现 (3) 一、实验目的 (3) 二、实验所用器材 (3) 三、实验任务要求 (3) 四、实验原理图 (3) 五、实验仿真波形图及分析 (4) 实验2 用VHDL 设计与实现组合逻辑电路 (5) 一、实验目的 (5) 二、实验所用器材 (5) 三、实验任务要求 (5) 四、VHDL代码 (5) 五、实验仿真波形图及分析 (7) 实验3 用VHDL 设计与实现时序逻辑电路 (8) 一、实验目的 (8) 二、实验所用器材 (8) 三、实验任务要求 (8) 四、模块端口说明及连接图 (8) 五、VHDL代码 (9) 六、实验仿真波形图及分析 (10) 实验4 用VHDL 设计与实现数码管动态扫描控制器 (10) 一、实验目的 (10) 二、实验所用器材 (11) 三、实验任务要求 (11) 四、模块端口说明及连接图 (11) 五、VHDL代码 (11) 六、实验仿真波形图及分析 (15) 故障及问题分析 (16) 总结和结论 (17)

实验1 Quartus II 原理图输入法设计与实现 一、实验目的 (1)熟悉用Quartus II原理图输入法进行电路设计和仿真; (2)掌握Quartus II 图形模块单元的生成与调用; (3)熟悉实验板的使用。 二、实验所用器材 (1)计算机; (2)直流稳压电源; (3)数字系统与逻辑设计实验开发板。 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、实验原理图 (1)半加器原理图 (2)全加器原理图

数字电路逻辑设计1

第一阶段练习题 一、填空题 1.BCD码都以四位二进制数来表示1位十进制数,常用的BCD码有8421 码、2421码、余3码等。 2.8421码01000101.1001对应的十进制数为45.9 ,余3码为01111000.1100。 3.通常将逻辑量在形式上数字化,即用逻辑“ 1 ”表示逻辑“真”,用逻辑“ 0 ” 表示逻辑“假”。 4.基本的逻辑关系有“与”逻辑、“或”逻辑及“非”逻辑三种。 5.当决定一事件结果的所有条件都满足时,结果才发生,这种条件和结果的关系就称为逻辑 “乘”或者“与”运算。 6.“与”运算的含义是:只有输入变量都为1时,输出变量才为 1 ;反之,只要输入 变量中有一个为0,输出变量便为0 。 7.在决定一事件结果的所有条件中,只要有一个或一个以上满足时结果就发生,这种条件和 结果的关系就称为逻辑“加”或者“或”运算。 8.或运算的含义是:只要输入变量中有一个或者一个以上为1,输出变量就为1;反之,只有输入变量都为0 时,输出变量才为0。 9.一事件结果的发生,取决于某个条件的否定,即只要条件不成立结果就发生,条件成立结 果反而不发生。这种条件和结果的关系就称为逻辑“非”。 10.逻辑函数的描述方法有逻辑表达式、真值表和逻辑图三种形式。 11.假定F、G都是具有n个相同变量的逻辑函数,对于这n个变量的2n种组合中的任 意一组输入,若F和G都有相同的输出,便称这两个函数相等。可以看出,两逻辑函数相等的 实质是它们的真值表完全相等。 12.逻辑代数表达式都是由“与”、“或”、“非”这三种基本运算组成的,其中“非” 运算优先级别最高,“或”运算优先级别最低。 13.与运算及或运算的分配律分别为:A(B+C)= AB+AC,A + B C = (A+B)(A+C)。 14.若B= 0 ,则A + B = A ,A B = 0 。 15.若B= 1 ,则A + B = 1 ,A B = A 。 16.若B≠A,则A + B = 1 ,A B = 0 。 17.由吸收律可知,A+A B C= A ,A(A+B+C)= A 。 18.由吸收律可知,A+A B C= A+BC、A(A+B+C)= A(B+C)。

中山大学数字电路与逻辑设计实验报告

中山大学数字电路与逻辑设计实验报告 院系信息科学与技术学院学号 专业计算机科学类实验人 3、实验题目:AU(Arithmetic Unit,算术单元)设计。 实验内容: 设计一个半加半减器,输入为 S、A、B,其中S为功能选择口。当S=0时,输出A+B及进位;当S=1时,输出A-B及借位。 S 输入1 输入2 输出Y 进/借位Cn 0 A B A+B 进位 1 A B A-B 借位 利用三种方法实现。 (1)利用卡诺图简化后只使用门电路实现。 (2)使用74LS138实现。 (3)使用74LS151实现,可分两次单独记录和/差结果、进位借位结果或使用两块74LS151实现。 实验分析: 真值表 S A B Y Cn 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 0 0 1 0 1 1 1 1 1 0 1 0 1 1 1 0 0 卡诺图: S AB 0 1 通过卡诺图可得:Y=A B+A B 00 01 11 100 0 1 1 0 0 1 1

S AB 0 1 00 Cn=AB S +A BS 01 =(A S +A S)B 11 10 实验设计: (1)利用门电路实现。 ①利用74LS197的八进制输出端Q1、Q2、Q3作为B 、A 、S 的输入。 ②用异或门74LS86实现输出Y. ③用74LS86实现A ⊕B ,再用74LS08与B 实现与门。 (2)利用74LS138实现 ①将74LS197的Q3、Q2、Q1作为74LS138的S2、S1、S0输入,G2A 、G2B 接低电平,G1接高电平。 ②将74LS138的Y1、Y5、Y2、Y6利用74LS20实现与非门作为输出Y 。 ③ 将74LS138的Y3、Y5利用74LS00实现与非门作为输出Cn 。 0 0 0 1 1 0 0 0

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

《数字电路逻辑设计》期末考试题4

《数字电路》期末考试题四 班级: 学号: 姓名: 成绩: 一、填空题:(每空1分,共27分) 1、数字电路中最基本的三种逻辑运算是 、 和 。 2、一个逻辑函数,如果有n 个变量,则有 个最小项;任何一个逻辑函数可以化成一组 之和表达式,称为 表达式。 3、数据比较器的逻辑功能是对输入的 个数据进行比较,它有 、 和 三个输出端。 4、描述一个时序逻辑电器的功能,必须使用三个方程式,它们是 、 和 。 5、触发器异步置0,须使S D = ,R D = ,而与 和 无关。(设异步控制端低电平有效)。 6、触发器有 个稳态,它可记录 位二进制码,存储8位二进制信息需要 个触发器。 7、如图(a )所示电路中,CP 脉 冲的频率为2KHZ ,则输出端Q 的 频率为 ;在(b )所示电 路中,CP 脉冲的频率为4KHZ ,则 输出端Q 的频率为 。 8、加法器分 加法器和 加法器两种。 9、八位移位寄存器,串行输入时经 个CP 脉冲后,8位数码全部移入寄存器中;若该寄存器已存满8位数码,欲将其串行输出,则需经 个CP 脉冲后,数码才能全部输出。 10、在某计数器的三 个触发器输出端Q 1Q 2Q 3 观察到如图所示波形, 由波形图可知该计数器 是模 计数器。 二、选择题:(每题2分,共30分) 1、若输入变量A 、B 全都为1时,输出F=0,则其输入与输出的关系是 。 A 、非 B 、与 C 、与非 D 、或 2、在 情况下,函数C B A F ++=运算的结果是逻辑“1”。 A 、全部输入是“0” B 、任一输入是“0” C 、仅一输入是“0” D 、全部输入是“1” 3、逻辑表达式C B A ??= 。 A 、A+B+C B 、 C B A ++ C 、C B A ++ D 、C B A ?? 4、n 个变量的最小项是 。 A 、 n 个变量的积项,它包含全部n 个变量,每个变量可用原变量或反变量 B 、 n 个变量的和项,它包含全部n 个变量,每个变量可用原变量或反变量 C 、 n 个变量的积项,它包含全部n 个变量,每个变量仅为原变量 D 、 n 个变量的和项,它包含全部n 个变量,每个变量仅为反变量 5、最小项D C B A 的逻辑相邻项是 。 A 、ABCD B 、A B C D C 、BCD A D 、D C B A 6、在下列逻辑电路中,不是组合逻辑电路的有 。 A 、译码器 B 、编码器 C 、全加器 D 、寄存器 7、八路数据分配器,其地址输入端有 个。 A 、8 B 、4 C 、3 D 、16 8、JK 触发器在CP 脉冲作用下,欲使Q n+1=Q n ,则输入信号不能为 。 A 、J=K=0 B 、J=Q ,K=Q C 、J=Q ,K=Q D 、J=Q ,K=0 9、一个四位二进制减法计数器的起始值为1001,经过100个CP 脉冲作用后之后的值为 。 A 、1100 B 、0100 C 、1101 D 、0101 10、组合逻辑电路通常由 组合而成。 A 、门电路 B 、触发器 C 、寄存器 D 、计数器 11、两个TTL 或非门构成的基本RS 触发器如右图, 如图R=S=0,则触发器的次态应为 。 A 、置0 B 、置1 C 、Q n+1=Q n D 、?=+1n Q 12、用n 个触发器构成的计数器,可得到的最大计数长度(即计数模)为 。 A 、n B 、2n C 、n 2 D 、2n 13、同步时序电路和异步时序电路比较,其差异在于后者 。 A 、没有触发器 B 、没有统一的时钟脉冲控制 C 、没有稳定状态 D 、输出只与内部状态有关 14、下列触发器中没有约束条件的是 。 A 、基本RS 触发器 B 、主从RS 触发器 C 、钟控RS 触发器 D 、边沿D 触发器 15、用计数器产生000101序列,至少需要 个触发器。 A 、2 B 、3 C 、4 D 、8 三、分析题:(共43分) 1、 用卡诺图求函数F (A ,B ,C ,D )=∑)11,10,9,8,6,4,3,2,1,0(m 的最简与或式。(7分)

《数字电路逻辑设计》逻辑函数及其化简练习题

《数字电路逻辑设计》练习题 ---------- 逻辑函数及其化简 一. 用公式证明下列各等式。 1.()= D = +BC+BCD = +D= AB AC B C D AB AC D AB AC B CD AB AC AB AC +++=+++++++原式左边右边 2. A +BC (1+D)++BC =++BC=++BC =BC+BC=+BC=A C A B C D A BC A C A B A C A B A C B A A ?+?+??=+?????原式左边()右边 3. BCD BCD ACD+ABC +A BCD +BC +BCD BC +BD =BCD+A BCD BCD+BCD +ABC +BC +ACD =BCD+A BCD+BD+BC +ACD =BCD+ACD+BCD+BD+BC =BCD+ACD+BD+DC+BC =BCD+BD+DC+BC =C D+B + B D+C =BC+BD+BC= D D BC D D D D D D ++???=+?+???????原式左边()()右边 4. AB B+D CD+BC+A BD+A+CD=1=AB B+D CD BC+A BD A+C+D =AB+ B+D+CD)(B+C C D =(B+C +C D =BC+BD+CD+C+D=1=????????原式左边()++(B+D))+ 右边 二. 写出下列各逻辑函数的最小项表达式及其对偶式、 反演式 的最小项表达式 1. F=ABCD+ACD+BD =m m(0,1,2,3,5,7,8,9,10,13) F*=m(2,5,6,7,8,10,12,13,14,15) ∑=∑∑(4,6,11,12,14,15)F 2. F=AB+AB+BC =m m(0,1,6) F*=m(1,6,7) ∑=∑∑(2,3,4,5,7)F 3. F=AB+C BD+A D =m m(023******* ) F*=m(34511121315) B C +?++∑=∑∑(1,5,6,7,8,9,13,14,15) F ,,,,,,,,,,,, 三. 用公式法化简下列各式 1. F=ABC+A CD+AC =A(BC+C)+A CD=AC AB A CD =C(AD)AB=AC+CD+AB A ??++?++ 2. F=AC D+BC+BD+AB+AC+B C =AC D+BC+BD+AB+AC+BC+B C =AC D+BC+AC+B =AD+C+B ????? 3. F=(A+B)(A+B+C)(A+C)(B+C+D)F*= AB+ABC+AC+BCD = AB+AC+BCD=AB+AC F=(F*)*=(A+B)(A+C)=AC+AB ∴ 4. F=AB+A B BC+B C AB+A B BC+B C AB+A B BC+B C A B C A A F C AB BC C AB B C C ???=?+?=?+?+=++?+=+?+ 5. F=AC+B ()()()()C B AC AC F A C B C ABC ABC AB A C BC C ABC ABC AB C A B C AC BC ++=++++=+?++++=+=+=+ 四. 用图解法化简下列各函数。 1. F=ABC+A CD+AC ?

相关文档
相关文档 最新文档