文档库 最新最全的文档下载
当前位置:文档库 › Xilinx ISE 6[1].2实验指导

Xilinx ISE 6[1].2实验指导

Xilinx ISE 6[1].2实验指导
Xilinx ISE 6[1].2实验指导

实验一EDA软件实验

实验性质:验证性实验级别:必做

开课单位:信息与通信工程学院通信工程系学时:4学时

一、实验目的:

1、了解Xilinx ISE 6.2软件的功能。

2、掌握Xilinx ISE 6.2的VHDL输入方法。

3、掌握Xilinx ISE 6.2的原理图文件输入和元件库的调用方法。

4、掌握Xilinx ISE 6.2软件元件的生成方法和调用方法。

5、掌握Xilinx ISE 6.2编译、功能仿真和时序仿真。

6、掌握Xilinx ISE 6.2原理图设计、管脚分配、综合与实现、数据流下载方法。

7、了解所编电路器件资源的消耗情况。

二、实验器材:

计算机、Quartus II软件或xilinx ISE

三、实验内容:

1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 6.2软件平台上完成设计电路的VHDL

文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。

2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 6.2软

件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。

四、实验步骤:

4.1 Xilinx ISE 6.2环境

1、三线八线译码器(74LS138)VHDL电路设计

(1)、三线八线译码器(74LS138)的VHDL源程序的输入

打开Xilinx ISE 6.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(74LS138)建立设计项目。项目名称【Project Name】为“Shiyan”,工程建立路径为“C:\Xilinx\bin\adder8”,其中“顶层模块类型(Top-Level Module Type)”为硬件描述语言(HDL),如图1所示。

图1

点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。各标签具体含义如下:

●【Device Family】:定义所选芯片器件族

●【Device】:定义器件名

●【Package】:定义器件封装形式

●【Speed Grade】:定义芯片工作速度等级

●【Top-Level Module Type】:定义顶层模块类型

●【Synthesis Tool】:定义综合工具

●【Simulator】:定义仿真测试工具

●【Generated Simulation Language】:定义硬件描述语言

针对本试验所用开发板我们选择“CoolRunner2 CPLDs”系列的“XC2C256-7PQ208”器件作为目标芯片进行仿真,如图2所示。

图2

完成具体选择后点击【下一步】弹出如图3所示对话框,在该对话框内创建文件资源。

图3

打开【New Source】标签,弹出如图4所示对话框,在左侧方框中包含了用户可以创建的文件类型,包括以下内容:

●【Schematic】:原理图类型文件

●【State Diagram】:状态图类型文件

●【Test Bench Waveform】:波形类型测试文件

●【User Document】:用户类型文件

●【Verilog Module】:Verilog类型文件

●【Verilog Test Fixture】:Verilog语言描述类型测试文件

●【VHDL Library】:VHDL库文件

●【VHDL Module】:VHDL类型模块文件

●【VHDL Package】:VHDL类型文件封装库

●【VHDL Test Bench】:VHDL语言描述类型测试文件

图4

在【File】标签下对话框内写入用户自定义的文件名称,标签【Locatior】下显示了新定义文件的创建路径,选中标签【Add to proje】前的对号标记,将新创建的文件adder8添加到工程“Shiyan”中。点击【下一步】,弹出如图5所示对话框,在此对话框中输入三线八线译码器(74LS138)的的端口信息。

图5

点击【下一步】弹出【New Source Information】对话框,在该对话框内显示了新建文件的属性及信息,如图6所示。

图6

点击【完成】返回资源创建对话框,其中显示了新建文件“LS74138.vhdl”,如图7。

图7

点击【下一步】弹出工程信息对话框【New Project Information】,该对话框给出了所设计的工程信息,如图8所示。

图8

点击【完成】标签结束新建工程过程。进入Xilinx ISE文本编辑方式,在文本框中编辑输入8位加法器的VHDL源程序,如下图所示:

图2

(增加ELSE 语句否则产生寄存器)

在VHDL源程序中,G1和G2为两个使能控制信号,INP为命令码输入信号,Y为8位译码输出信号。

(2)、设计文件存盘与语法检查

图13 图14

完成程序代码输入后单击高亮“ls74138-behavioral(LS74138.vhdl)”标签(图13),此时工具窗口将显示“Process for Source(ls74138-behavioral)”。用鼠标右键点击Process窗口中【Check Syntax】标签,点击运行选项,进行程序语法检查,当显示一绿色对号标志时即表示程序中不存在语法问题,如图14所示。

(3)、仿真文件设计

为了验证所设计电路功能,需要输入测试文件对电路程序功能进行测试。在【Process】菜单中选择【New Source】选项,即可弹出如图5所示对话框,选择【VHDL Test Bench】添加测试向量文件,并将文件添加到LS74138模块中,如图15所示

图15 图16

运行行为仿真选项卡,Xilinx ISE自动调用ModelSim SE 6.1c仿真平台作为仿真工具。运行ModelSim SE 6.1c菜单【Simulate】->【Run】->【Restart】,将仿真时间点置零。在【transcript】窗口中输入仿真时间。在波形【Wave】窗口内使用按钮实现仿真图的“放大”“缩小”“全局”功能。图17即为电路仿真结果,由图中时序及逻辑关系可知该三线八线译码器

行为仿真正常。

图17

测试向量参考程序如下:

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

USE ieee.numeric_std.ALL;

ENTITY ls74138_tb_74138_vhd_tb IS

END ls74138_tb_74138_vhd_tb;

ARCHITECTURE behavior OF ls74138_tb_74138_vhd_tb IS

COMPONENT ls74138

PORT(

G1 : IN std_logic;

G2 : IN std_logic;

INP : IN std_logic_vector( 2 downto 0);

Y : OUT std_logic_vector(7 downto 0)

);

END COMPONENT;

SIGNAL G1 : std_logic;

SIGNAL G2 : std_logic;

SIGNAL INP : std_logic_vector( 2 downto 0);

SIGNAL Y : std_logic_vector(7 downto 0);

BEGIN

uut: ls74138 PORT MAP(

G1 => G1,

G2 => G2,

INP => INP,

Y => Y

);

-- *** Test Bench - User Defined Section ***

u1:PROCESS

BEGIN

G1<='0';

wait for 10us;

G1<='1';

wait for 90us;

G1<='0';

wait;

END PROCESS u1;

u2:PROCESS

BEGIN

G2<='0';

wait for 10us;

G2<='1';

wait for 90us;

G2<='0';

wait;

END PROCESS u2;

u3:PROCESS

BEGIN

INP<="000";

wait for 20us;

INP<="001";

wait for 10us;

INP<="010";

wait for 10us;

INP<="011";

wait for 10us;

INP<="100";

wait for 10us;

INP<="101";

wait for 10us;

INP<="110";

wait for 10us;

INP<="111";

wait;

end PROCESS u3;

-- *** End Test Bench - User Defined Section *** END;

(4)芯片管脚定义

如前所述添加用户定义限制文件,运行【Assign Package Pins】选项卡,Xilinx ISE将弹出管脚分配窗口,在如图19,输入各个端口管脚位置并保存,完成芯片管脚定义。

图18 图19

(5)编译与综合

图20 图21

运行【Process for Source】中的【Implement Design】(图20),ISE将自动完成编译并调用内嵌的综合工具XST完成综合过程,运行结果如图21所示。

编译通过后即自动生成了电路烧录下载文件(*.jed)以及资源消耗报告,通过该报告即可了解所设计电路的资源消耗情况。

图22

由图22可知,在三线八线译码器(74LS138)的设计中使用了8个宏单元,9个乘积项,8个寄存器单元,13个用户引脚及5个功能输入块。

(5)、编程下载

本试验用并行电缆将开发实验平台与计算机接在一起。确认下载电缆连接无误后执行Xilinx ISE的【iMPACT】命令,将三线八线译码器(74LS138)设计文件下载到目标芯片XC2C256-7PQ208中,硬件验证所设计电路功能。

2、元件的生成、调用和仿真

1、新建原理图文件,命名为“Sch_LS74138”并添加到工程“Shiyan”中,如图23。点击【下一步】完成原理图文件的创建。在弹出的原理图编辑框内选择【Symbols】标签,在其目录列表内显示了所有可用电路器件,其中包括了我们所设计的LS74138(图24)。

图23 图24

双击“ls74138”将其放置到原理图编辑区内。点击为器件添加外围端口,如图25所示。

图25

将原理图文件保存后返回【Xilinx Project Navigator】平台,此时已经将程序所设计的器件“LS74138”配置给了原理图文件“sch_ls74138”,如图26所示,其余电路仿真、管脚配置、编译、综合以及电路下载等过程与文本设计方式一致,在此不在重复说明。

五、预习与思考:

思考:比较VHDL语言和原理图的设计方法,这两种设计各有哪些优缺点。

实验二组合逻辑电路的VHDL语言实现

实验性质:验证性实验级别:必做

开课单位:信息与通信工程学院通信工程系学时:2学时

一、实验目的:

1、掌握VHDL语言设计基本单元及其构成

2、掌握用VHDL语言设计基本的组合逻辑电路的方法。

3、掌握VHDL语言的主要描述语句。

二、实验器材:

计算机、Quartus II软件或Xilinx ISE

三、实验内容:

1、本实验以1位全加器为例,在Xilinx ISE 软件平台上完成设计电路的VHDL 文本输入,编辑,编

译,仿真,关键分配和编程下载等操作。下载芯片选择Xilinx 公司的CoolRunner II 系列XC2C256-7PQ208作为目标仿真芯片。

2、用步骤1所设计的全加器的VHDL 文件生成一个adder 的元件,在Xilinx ISE 软件原理图设计平

台上完成adder 元件的调用,用原理图的方法设计一个8位二进制加法器,实现编译,仿真,管脚分配和编程下载等操作。

3、或优先编码器、多路选择器等其它电路。 四、实验步骤:

(一)、用VHDL 语言实现八位加法器的设计并实现功能仿真。

全加器是带进位位信号的加法器,起逻辑表达式为:carryin dataB dataA Sum ++= 。它的真值表如表1所示,其中dataA 和dataB 为加数与被加数,carryin 是输入的进位位信号,而Sum 是和数,carryout 是输出进位位信号。参考真值表,实现八位全加器的功能。

表1

输入

输出

carryin

dataA

dataB

Sum

carryout

0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1

1

1

1

1

8位加法器的VHDL 源程序参考如下: LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY adder8 IS

PORT(

CIN : IN INTEGER RANGE 0 TO 1;

A : IN INTEGER RANGE 0 TO 255;

B : IN INTEGER RANGE 0 TO 255;

SUM : OUT INTEGER RANGE 0 TO 255;

COUNT: OUT INTEGER RANGE 0 TO 1

);

END adder8;

ARCHITECTURE A_adder8 OF adder8 IS

SIGNAL SINT:INTEGER RANGE 0 TO 511;

BEGIN

SINT<=A+B+CIN;

SUM<=SINT WHEN SINT<=255 ELSE

SINT-256;

COUNT<=0 WHEN SINT<=255 ELSE

1;

电路需要重新设计。采用半加器、全加器

(二)、用VHDL语言实现优先编码器的设计并实现功能仿真

优先计编码器常用于中断的优先级控制,以8输入,3位二

进制输出的优先级编码器为例,当其中一个输入有效时,就可

以输出一个对应得3位二进制编码。另外,当同时有几个输入

有效时,将输出优先级最高的那个输入所对应得二进制编码。

其真值表如下所示:

表2 优先编码器真值表

输入二进制编码输出Input7 Input6 Input5 Input4 Input3 Input2 Input1 Input0 Y2 Y1 Y0 x x x x x x x 0 1 1 1 x x x x x x 0 1 1 1 0 x x x x x 0 1 1 1 0 1

x x x x 0 1 1 1 1 0 0 x x x 0 1 1 1 1 0 1 1 x x 0 1 1 1 1 1 0 1 0 x 0 1 1 1 1 1 1 0 0 1 x 1 1 1 1 1 1 1 0 0 0 用VHDL语言实现优先编码器的设计并实现功能仿真验证其功能。参考程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY priorityencoder IS

PORT (input:IN STD_LOGIC_VECTOR (7 DOWNTO 0);

y:OUT STD_LOGIC_VECTOR (2 DOWNTO 0));

END priorityencoder;

ARCHITECTURE rt1 OF priorityencoder IS

BEGIN

PROCESS (input)

BEGIN

IF(input(0)='0') THEN

y<="111";

ELSIF(input(1)='0') THEN

y<="110";

ELSIF(input(2)='0') THEN

y<="101";

ELSIF(input(3)='0') THEN

y<="100";

ELSIF(input(4)='0') THEN

y<="011";

ELSIF(input(5)='0') THEN

y<="010";

ELSIF(input(6)='0') THEN

y<="001";

ELSE

y<="000";

END IF;

END PROCESS;

END rt1;

(三)、用VHDL语言实现四选一选择器的设计并实现功能仿真。

选择器常用于信号的切换,四选一选择器可以用于4路信

号的切换。其真值表如下所示:

表3 四选一真值表

选择输入数据输入数据输出

b a Input0 Input1 Input2 Input3 y

0 0 0 x x x 0

0 0 1 x x x 1

0 1 x 0 x x 0

0 1 x 1 x x 1

1 0 x x 0 x 0

1 0 x x 1 x 1

1 1 x x x 0 0

1 1 x x x 1 1

用VHDL语言实现四选一选择器的设计并实现功能仿真。

参考程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux4 IS

PORT (input:IN STD_LOGIC_VECTOR (3 DOWNTO 0);

a,b:IN STD_LOGIC;

y:OUT STD_LOGIC);

END mux4;

ARCHITECTURE rt1 OF mux4 IS

SIGNAL se1:STD_LOGIC_VECTOR (1 DOWNTO 0);

BEGIN

se1<=b&a;

PROCESS (input,se1)

BEGIN

IF(se1="00")THEN

y<=input(0);

ELSIF(se1="01")THEN

y<=input(1);

ELSIF(se1="10")THEN

y<=input(2);

ELSE

y<=input(3);

END IF;

END PROCESS;

END rt1;

(四)测试向量设计

五、实验结果(仿真结果)与分析

六、预习与思考

预习:在实验前编好实验程序,做实验时验证。

实验三时序逻辑电路的VHDL语言实验

实验性质:验证性实验级别:必做

开课单位:信息与通信工程学院通信工程系学时:2学时

一、实验目的:

1、掌握用VHDL语言设计基本的时序逻辑电路及仿真。

2、掌握VHDL顺序语句和并行语句的异同

3、掌握触发器同步复位和异步复位的实现方式。

4、掌握软件时钟的加入方法。

5、掌握信号和变量的主要区别。

二、实验器材:

计算机、Quartus II软件或xilinx ISE

三、实验内容:

1、设计带使能的递增计数器

2、在步骤1的基础上设计一带使能的同步(异步)复位的递增(递减)计数器

3、在步骤1的基础上设计同步(异步)清零的同步(异步)复位的递增(递减)计数器

四、实验步骤:

1、设计带使能的递增计数器。

2、在步骤1的基础上设计一带使能的同步复位的递增计数器并实现时序仿真。

3、在步骤1的基础上用信号设计一带使能的同步复位的递增计数器并实现时序仿真。

4、用变量设计一带使能的同步复位的可增可减计数器并实现时序仿真,比较信号和变量的不同。

5、在步骤1的基础上设计异步清零的异步清零的递增(递减)计数器,和步骤二中同步设计比较,

清零信号和时钟信号位置不同时仿真结果有何不同,同时理解VHDL语言顺序语句和并行语句的区别。

6、测试向量设计

参考程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity ycounter is

port(clk,clear,ld,enable:in std_logic;

d:in integer range 0 to 255;

qk:out integer range 0 to 255);

end ycounter;

architecture a_ycounter of ycounter is

begin

PROCESS (clk)

VARIABLE cnt : INTEGER RANGE 0 TO 255;

BEGIN

IF (clk'EVENT AND clk = '1') THEN

IF(clear = '0') THEN

cnt := 0;

ELSE

IF(ld = '0') THEN

cnt := d;

ELSE

IF(enable = '1') THEN

cnt := cnt + 1;

END IF;

END IF;

END IF;

END IF;

qk <= cnt;

END PROCESS;

end a_ycounter;

五、实验结果(仿真结果)与分析

六、预习与思考:

思考:VHDL语言中信号和变量有什么区别?

实验四 VHDL层次化设计方法实验

实验性质:验证性实验级别:必做

开课单位:信息与通信工程学院通信工程系学时:2学时

一、实验目的:

1、掌握用VHDL语言层次化设计的基本方法。

2、掌握GENERATE语句的用法。

二、实验器材:

计算机、Quartus II软件或xilinx ISE

三、实验内容:

设计一8位异步计数器,它的上一位计数器的输出作为下一位计数器的时钟信号,一级一级串行连接构成一个异步计数器。

各个D触发器模块采用VHDL语言编写,分别用原理图和VHDL语言元件例化语句的方法实现8位异步计数器的设计。

四、实验步骤:

(一)、在原理图中调用VHDL生成的D触发器模块实现8位异步计数器的设计

1、在Quartus II环境中新建vhdl文本编辑文件,设计带清零端的D触发器并编译仿真。

2、将步骤1所设计的D触发器生成一个元件。

3、新建原理图文件,调用步骤2所生成的D触发器元件,在原理图中实现8位异步计数器。(二)、用VHDL的COMPONENT语句调用VHDL生成的D触发器模块实现8位异步计数器设计。

1、在Quartus II环境中新建vhdl文本编辑文件,设计带清零端的D触发器并编译仿真。

2、在同一个程序中用COMPONENT语句实现8位异步计数器的设计。

参考程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY rplcont IS

PORT(clk,clr:in std_logic;

count:out std_logic_vector(7 downto 0));

END rplcont;

ARCHITECTURE rtl OF rplcont IS

signal count_in_bar:std_logic_vector(8 downto 0);

component dffr

port(clk,clr,d:in std_logic;

q,qb:out std_logic);

end component;

begin

count_in_bar(0)<=clk;

gen1:for i in 0 to 7 generate

u:dffr port map(clk=>count_in_bar(i),

clr=>clr,d=>count_in_bar(i+1),

q=>count(i),qb=>count_in_bar(i+1));

end generate;

end rtl;

(三)测试向量设计

五、实验结果(仿真结果)与分析

实验五综合实验

实验性质:综合性实验级别:必做开课单位:信息与通信工程学院通信工程系学时:2学时

一、实验目的:

1、学习用VHDL语言实现比较大型的电路的方法。

2、继续巩固cpld技术层次化设计方法。

二、实验器材:

分析化学实验指导书

分析化学实验指导书

实验一食醋中总酸度的测定 一、教学要求 1、学会食醋中总酸度的测定原理和方法。 2、掌握指示剂的选择原则。 3、比较不同指示剂对滴定结果的影响。 4、加强移液管的使用; 5、掌握强碱滴定弱酸的滴定过程,突跃范围及指示剂的选择原理。 二、预习内容 1、碱式滴定管的规格、洗涤、润洗等操作步骤; 2、NaOH溶液的储存注意事项; 3、吸量管的使用; 三、基本操作 1、吸量管的使用 要准确移取一定体积的液体时,常使用吸管。吸管有无分度吸管(又称移液管)和有分度吸管(又称吸量管)两种。如需吸取5mL、10mL、25mL等整数,用相应大小的无分度吸管,而不用有分度吸管。量取小体积且不是整数时,一般用有分度吸管,使用时,令液面从某一分度(通常为最高标线)降到另一分度,两分度间的体积刚好等于所需量取的体积,通常不把溶液放到底部。在同一实验中,尽可能使用同一吸管的同一段,而且尽可能使用上面部分,不用末端收缩部分。 使用前,依次用洗液、自来水、蒸馏水洗涤,最后再取少量被量液体荡洗3次,以保证被吸取的溶液浓度不变。蒸馏水和溶液荡洗的用量由吸管大小决定,无分度吸管以液面上升到球部为限,有分度吸管则以充满全部体积的1/5为限。 用吸管吸取溶液时,左手拿洗耳球(预先排除空气),右手拇指及中指拿住管颈标线以上的地方。吸管下端至少伸入液面1cm,不要伸入太多,以免管口外壁沾附溶液过多,也不要伸入太少,以免液面下降后吸空。用洗耳球慢慢吸取溶液,眼睛注意正在上升的液面位置,吸管应随容器中液面下降而降低。当溶液上升到标线以上时迅速用右手食指紧按管口,取出吸管,左手拿住盛溶液的容器,并倾斜约45°。右手垂直地拿住吸管,使其管尖靠住液面以上的容器壁,微微抬起食指,当液面缓缓下降到与标线相切时,立即紧按食指,使流体不再流出。再把吸管移入准备接收溶液的容器中,仍使其管尖接触容

Xilinx FPGA入门连载1:ISE14.6安装

Xilinx FPGA入门连载1:ISE14.6安装 特权同学,版权所有 配套例程和更多资料下载链接: https://www.wendangku.net/doc/0511058027.html,/s/1jGjAhEm 1 安装文件拷贝与解压缩 到SP6共享网盘(链接https://www.wendangku.net/doc/0511058027.html,/s/1jGjAhEm)下的software文件夹下载ISE14.6的安装包。 随意选中前面的某个压缩包,右键单击选择“解压到当前文件夹”。 随后大约需要5-10分钟,解压才能完成。解压完成后,出现如下文件夹。

2 虚拟光驱或解压缩安装 点击进入文件夹“Xilinx.ISE.Design.Suite.14.6”,如图所示。 若用户PC安装了虚拟光驱,则使用虚拟光驱打开“XILINX_ISE_DS_14.6”进行安装。 假设用户PC没有安装虚拟光驱,则按照我们下面的步骤操作。右键单击“XILINX_ISE_DS_14.6”,选择“解压到XILINX_ISE_DS_14.6”,如图所示。 又是5-10分钟的漫长等待,谁让咱们土得连个虚拟光驱都没有捏! 3 ISE14.6安装 安装前面的步骤解压缩后,如图所示。

在着手开始安装前,建议大家把什么乱起八糟的杀毒软件都关一关,免得后面一大堆郁闷问题。 双击上图的最后一个可执行文件“xsetup”,随后便弹出了最基本的ISE安装界面,如图所示。点击右下角的“Next”进入下一步。 如图所示分别勾选“I accept …”和“I also accept…”两个选项,再点击右下角的“Next”进入下一步。

如图所示,勾选最下方的“I accept…”选项,然后点击右下角的“Next”进入下一步。 入下一步。

Xilinx ISE 使用入门

Xilinx ISE 使用入门 1、ISE的安装 现以ISE 5.2i为例介绍Xilinx ISE Series的安装过程。 1)系统配置要求 ISE 5.2i推荐的系统配置与设计时选用的芯片有关。因为在综合与实现过程中运算量非常大,所以对系统配置要求很高。为了提高综合、仿真、实现过程的速度,对于计算机的CPU的主频、主板和硬盘的工作速度,尤其是内存大小配置都有非常高的要求。在ISE 5.2i 支持的所有Xilinx的FPGA/CPLD中,要求最低的Spartan II和XC9500/XL/XV等系列需要的内存和虚拟内存推荐值均达到128MB,而对于Virtex-II XC2V8000来说,需要的内存和虚拟内存推荐值均高达3GB。 2)ISE 5.2i的安装 以中文版Windows XP操作系统为例加以说明。 (1)启动Windows XP,插入ISE5.2i安装光盘,自动或选择执行Install.exe,安装界面如图4.25所示。 图4.25 ISE5.2i安装界面 (2)单击此时安装界面上的操作选择“下一步”直到出现图示对话框,输入有效的Registration ID。之后单击“下一步”选择安装路径;再之后点击“下一步”,会弹出图4.26的对话框,可以选择器件模型。

图4.26 器件模型选择对话框 (3)点击“下一步”,如图4.27所示,可以选择器件种类。

图4.27 器件种类选择对话框 通过以上步骤后,可根据具体情况来选择,继续“下一步”即可完成安装。 安装完成后,环境变量应作如下描述: 若操作系统是Windows NT/2000/XP,选择开始->控制面板->系统->选项->系统->高级->环境变量,在环境变量中加入: 变量名:Xilinx 变量值:C:Xilinx(即安装路径) 具体设置如图4.28所示。 3 VHDL设计操作指南 首先进入ISE工程管理器(Project Navigator)界面,如图4.30所示。Project Navigator 是ISE所用集成工具的连接纽带,通过使用Project Navigator,设计者可以创建、组织和管理自己的设计。 图4.28 环境变量设置操作图 3)安装第三方软件

分析化学实验指导要点

分析化学实验指导

实验一、分析化学实验基础知识 一、实验的目的: 1.验证化学的基本原理、基本知识,巩固、加深、拓展理论知识的学习; 2.掌握实验的基本技能、基本操作,培养分析问题、解决问题的能力; 二、实验的程序: 实验前的预习-实验中的操作-实验后的报告-实验结束考试 三、实验预备知识: (一)误差及误差的表示方法 1.误差—实验测定结果与客观存在的真实结果之间的差值 (注—真实结果:理论真值、约定真值、相对真值等) 2.表示方法 (1)绝对误差=测定结果-真实结果 (2)相对误差=绝对误差/真实结果×100% 误差反映测定结果的准确度;误差是客观存在的。 3.误差的分类 (1)系统误差—某些固定的经常性的原因所造成的误差。具有单向性、重现性、可测性等特点。 如:砝码腐蚀、试剂(包括蒸馏水)中含有微量被测组分、沉淀反应不完全、化学计量点与滴定终点不一致等。 (2)偶然误差—某些不确定的原因(如气压的微小波动、温度的微小波动、仪器性能的微小波动等)所造成的误差。具有双向性、不确定性、不可测性等特点,但符合统计规律(多次测定结果中:正负误差出现机会/几率相等,大误差出现的机会少,小误差出现的机会多,在消除了系统误差的前提下,多次测定结果的平均值可以代表真实结果) 如:天平零点稍有变化;滴定管最后一位估计不准等。 (二)偏差及偏差的表示方法 1.偏差—多次实验测定结果之间的差值 2.表示方法 (1)绝对偏差=个别测定值-算术平均值 (2)平均偏差=单次测量偏差的绝对值的平均值 (3)相对平均偏差=(平均偏差/算术平均值)×100% 偏差反应测定结果的精密度;精密度是保证准确度的前提条件,但是精密度高的分析结果准确度不一定高,因为分析过程中有可能存在系统误差。 (三)数据记录与数据处理 1.有效数字—只含有一位可疑数字的物理量。 (注—物理量:不仅给出数值,而且反应量度的方法/仪器的准确度) 2.有效数字运算法则 (1)加减法—计算结果保留的小数位数应与原始数据中小数位数最少的数相一致。 如:0.0121+25.64+1.05782=26.71 (2)乘除法—计算结果保留的有效数字位数应与原始数据中有效数字位数最少的数相一致。 如:0.0121×25.64×1.05782=0.328 (3)数字修约—数据处理过程中舍去不必要的有效数字的过程 数字修约规则—四舍六入五留双 被修约数字≤4 舍去 0.52664=0.5266 ≥6 进位 0.36266=0.3627 =50 舍去/进位 =5* 进位 18.0852=18.09 末位为偶数10.2350=10.24;10.2650=10.26 (注意:不能连续修约,如:1.54546=1.5;1.54546≠1.6) (4)线性回归方程的建立 设自变量x1,x2,x3,…x n(如浓度) 应变量y1,y2,y3,…y n(如仪器的信号值:电位、吸光度、峰高、峰面积等)

分析化学实验

分析化学实验指导目录 分析化学实验目的P2 分析化学实验要求P2 实验1酸碱标准溶液的比较滴定(半微量分析法)P3 实验3铵盐中氮含量的测定(甲醛法)(半微量分析法)P5 实验4 滴定分析技能考核P7 实验5 EDTA标准溶液的标定(半微量分析法)P8 实验6 天然水中总硬度的测定(半微量分析法)P9 实验7 NaOH标准溶液的标定(半微量分析法)P11 实验8食醋中总酸度的测定(半微量分析法)P12 实验9混合碱组成的分析及各组分含量的测定P13 实验10高锰酸钾溶液的标定(半微量分析法)P15 实验11过氧化氢含量的测定(半微量分析法)P16 实验12硫代硫酸钠标准溶液的标定(半微量分析法)P17 实验13 胆矾中铜含量的测定(半微量分析法)P19 实验14亚铁盐中铁的测定含量(半微量分析法)P20 分析化学实验目的

分析化学是一门实践性很强的学科,实验课约占总学时的1/2~2/3。为此,分析化学实验单独设课。分析化学实验课的任务是巩固、扩大和加深对分析化学基本理论的学习和理解;熟悉各种分析方法,尤其应掌握基础的化学分析法;熟练掌握分析化学基本操作技术;使学生具有初步进行科学实验的能力。为学习后续课程和将来从事与化学有关的科学研究工作打下良好的基础。为完成上述任务,提出以下要求:通过分析化学实验课的教学,使学生能掌握化学分析的基本知识,如常见离子的基本性质和鉴定,常见基准物质的使用。滴定分析的基本操作方法和指示剂的选择,学会查阅分析化学手册和参考资料,能正确、熟练地使用分析天平,会使用分光光度计和酸度计等仪器。 在分析化学实验教学过程中,要注意培养学生严谨的学习态度,科学的思想方法,良好的实验操作习惯,爱公物、守纪律的优良品德和实事求是的工作作风。 分析化学实验是农业院校一年级学生接触的第一门以定量测定为主的基础课,学生通过具体的实验,应达到以下目的: 1.巩固、扩大和加深对分析化学基本理论的理解,熟练掌握分析化学的基本操作技术,充实实验基本知识,学习并掌握重要的分析方法。具有初步进行科学实验的能力。 2.了解并掌握实验条件、试剂用量等对分析结果准确度的影响,树立准确的“量”的概念。学会正确、合理地选择分析方法、实验仪器、所用试剂和实验条件进行实验,确保分析结果的准确度。 3.掌握实验数据的处理方法,正确记录、处理和分析实验数据,写出完整的实验报告。 4.培养严谨细致的工作作风和实事求是的科学态度。通过实验,达到培养学生提出问题、分析问题、解决问题的能力和创新能力的目的。 5.根据所学的分析化学基本理论,所掌握的实验基本知识, 设计实验方案,并通过实际操作验证其设计实验的可行性。 分析化学实验要求 1.实验课开始时应认真阅读“实验室规则”和“天平室使用规则”,要遵守实验室的各项制度。了解实验室安全常识、化学药品的保管和使用方法及注意事项,了解实验室一般事故的处理方法,按操作规程和教师的指导认真进行操作。 2.课前必须进行预习,明确实验目的,理解实验原理,熟悉实验步骤,做好必要的预习记录。未预习者不得进行实验。 3.洗仪器用水要遵循“少量多次”的原则。要注意节约使用试剂、滤纸、纯水及自来水等。取用试剂时要看清标签,以免因误取而造成浪费和失败。 4.保持室内安静,以利于集中精力做好实验。保持实验台面清洁,仪器摆放整齐、有序。 实验课开始和期末都要按照仪器清单(见附录二十四)认真清点自己使用的一套仪器。实验中损坏和丢失的仪器要及时去“实验准备室”登记领取,期末按有关规定进行赔偿。 爱护仪器, 5.所有实验数据,尤其是各种测量的原始数据,必须随时记录在专用的、预先编好页码的实验记录本上,不得记在其他任何地方,不得涂改原始实验数据。 6.火柴、纸屑、废品等只能丢入废物缸(箱)内,不能丢入水槽,以免水管堵塞。 7.树立环境保护意识,在能保证实验准确度要求的情况下,尽量降低化学物质(特别是有毒有害试剂及洗液、洗衣粉等)的消耗。实验产生的废液、废物要进行无害化处理后方可排放,或放在指定的废物收集器中,统一处理。 常量分析的基本实验,其平行实验数据之间的相对极差和实验结果的相对误差,一般要求不超过±0.2%和±0.3%,自拟方案实验、双组分及复杂物质的分析和微量分析实验则适当放宽要求。 实验1 酸碱标准溶液的比较滴定

xilinx ise安装教程

《Xilinx ISE 14.2 安装指南》 1. 写在前面的话 到了这个年纪,一个人的路,总是孤独的。型号还有FPGA这一爱好,凭着自己的兴趣,不屈不饶的努力学习,不求有多大的成就,但求能给数字界贡献微薄的一份子。曾经无数的跌倒,曾经无数次的失落,曾经无数次的崩溃,尽管失去总比得到的多,这些都不重要,因为,我一直在努力,像松鼠那么努力! 光阴似箭,玩了大约3年的Altera FPGA,把它当做最亲密的伙伴的同时,我竟然开始玩弄Xilinx FPGA了。。。甚是激动。。。也许知己知彼,百战百殆,但对我而言,每一个都将是我学习的对象,也许是我的兴趣,也许是我的使命,这两者必定在我未来扮演重要的角色。尽然开始读研了,一个人的奋斗,没有找到久违的团队感,一度,我感到很孤独!虽然水平不过如此,见识还是那么的肤浅,能力还是不足,但好歹我终于找到了激情的欲望,也许拿到ZedBoard这一刻起,我又能找回方向,填补孤独的心灵了。 允许,还是老样子,我将会一步一步记录我Xilinx FPGA的学习过程,包括我的设计,思想,灵感;我会将此与Altera FPGA对照着来触摸,深化,我希望能让更多的人了解Xilinx,而不是仅仅局限于Altera FPGA。此刻开始,从新回归到菜鸟水平,“巧妇难为无米之炊”,万事开头难,在收集了一大包相关Xilinx的资料后,咱就开始安家吧—Xilinx ISE 14.2安装指南。

2. Xilinx ISE 14.2软件下载 由于偷懒,省的更换系统后又得下载对应版本的软件,在官网直接下载全功能版本,8.2G,支持Windows & Linux,网址及连接如下所示:

分析化学实验指导

药学专业 分析化学实验指导 第一章分析化学基本操作 一、重量分析基本操作 (一)样品的溶解 1.准备好洁净的烧杯,配好合适的玻璃棒和表面皿,玻棒的长度应比烧杯高5~7cm,不要太长。表面皿的直径应略大于烧杯口直径。 2.称取样品于烧杯后,用表面皿盖好烧杯。 3.溶解样品时注意: 溶解样品时,取下表面皿,将溶解剂沿玻棒下端加入,或沿烧杯壁加入。边加边搅拌直至样品完全溶解,然后盖上表面皿,此时玻棒不准离开烧杯放到别处(玻棒已沾有样品溶液)。若样品需加热溶解时,要盖表面皿使其微热或微沸溶解,不要暴沸。 (二)沉淀 1.沉淀的条件样品溶液的浓度,PH值,沉淀剂的浓度和用量,沉淀剂加入的速度,各种试剂加入的次序,沉淀时溶液的温度等条件要按实验操作步骤严格控制。 2.加沉淀剂将样品于烧杯中溶解并稀释成一定浓度,加沉淀剂应沿烧杯内壁或沿玻璃棒加入,小心操作忽使溶液溅出损失。若需缓缓加入沉淀剂时,可用滴管逐滴加入并搅拌。搅拌时勿使玻棒碰击烧杯壁或触击烧杯底及防碰破烧杯。若需在热溶液中进行沉淀,最好在水浴上加热,用煤气灯加热时要控制温度,防止溶液暴沸,以免溶液溅失。 3.陈化沉淀完毕,进行陈化时,将烧杯用表玻璃盖好,防止灰尘落入,放置过夜或在石棉网上加热近沸30分钟至1小时。 4.检查沉淀是否完全沉淀完毕或陈化完毕后,沿烧杯内壁加入少量沉淀剂,若上层清液出现混浊或沉淀,说明沉淀不完全,可补加适量沉淀剂使沉淀完全。 (三)过滤 1.滤纸或漏斗的选择要用定量滤纸或称无灰滤纸(灰分在0.1毫克以下或重量已知)过滤。滤纸的大小和致密程度由沉淀量和沉淀的性质决定。定量滤纸有快速,中速,慢速,直径有7cm,9cm和11cm三种。微晶形沉淀多用7cm致密滤纸过滤,蓬松的胶状沉淀要用较大的、疏松的滤纸过滤。 根据滤纸的大小选择合适的漏斗,放入的滤纸应比漏斗沿低约1cm,不可高出漏斗。需要进行灼烧的无机化合物沉淀,需用长颈玻璃漏斗(图1-1)过滤,对不需灼烧的有机化合物沉淀,需用微孔玻璃漏斗或微孔玻璃坩埚减压过滤(图1-2),(图1-3),(图1-4)。 2.滤纸的折迭(图1-5、图1-6)先将滤纸沿直径方向对折成半圆,再根据漏斗角度的大小折迭;若漏斗顶角恰为60度,滤纸折成90度,展开即成圆锥状其顶角亦成60度,放入漏斗中,滤纸恰好贴紧漏斗内壁,无空隙也不会使滤纸出现皱折。若漏斗角不是60度,则第二次折迭时应折成适合于漏斗顶角度数放入漏斗中。为使滤纸三层部分紧贴漏斗内壁,可将滤纸外层的上角撕下,并留做擦拭沉淀用。

ISE学习教程

1、ISE的安装 现以ISE 5.2i为例介绍Xilinx ISE Series的安装过程。 1)系统配置要求 ISE 5.2i推荐的系统配置与设计时选用的芯片有关。因为在综合与实现过程中运算量非常大,所以对系统配置要求很高。为了提高综合、仿真、实现过程的速度,对于计算机的CPU的主频、主板和硬盘的工作速度,尤其是内存大小配置都有非常高的要求。在ISE 5.2i支持的所有Xilinx的FPGA/CPLD中,要求最低的Spartan II和XC9500/XL/XV等系列需要的内存和虚拟内存推荐值均达到128MB,而对于Virtex-II XC2V8000来说,需要的内存和虚拟内存推荐值均高达3GB。 2)ISE 5.2i的安装 以中文版Windows XP操作系统为例加以说明。 (1)启动Windows XP,插入ISE5.2i安装光盘,自动或选择执行Install.exe,安装界面如图4.25所示。 图4.25 ISE5.2i安装界面 (2)单击此时安装界面上的操作选择“下一步”直到出现图示对话框,输入有效的Registration ID。之后单击“下一步”选择安装路径;再之后点击“下一步”,会弹出图4.26的对话框,可以选择器件模型。

图4.26 器件模型选择对话框 (3)点击“下一步”,如图4.27所示,可以选择器件种类。

图4.27 器件种类选择对话框 通过以上步骤后,可根据具体情况来选择,继续“下一步”即可完成安装。 安装完成后,环境变量应作如下描述: 若操作系统是Windows NT/2000/XP,选择开始->控制面板->系统->选项->系统->高级->环境变量,在环境变量中加入: 变量名:Xilinx 变量值:C:Xilinx(即安装路径) 具体设置如图4.28所示。

ISE安装步骤

从网上下载测试版软件华中科技大学ftp:211.69.207.25下载 ModelSim.SE.v6.0 仿真工具 Xilinx.ISE.v6.3i.Incl.Keymaker- foundation安装软件 Xilinx.ISE.v6.3i.VIRTEX.VIRTEXE.Addon- foundation库文件1 Xilinx.ISE.v6.3i.VIRTEX.VIRTEXE.Addon.Addon- foundation库文件2 SYNPLICITY_SYNPLIFY_PRO_V7.7 foundation器件综合软件 一、ModelSim.SE.v6.0的安装 双击图标进行安装,然后双击keygenerate产生文件license.dat文件。将其拷贝到modelsim安装的文件夹下。单击我的电脑,在右键中修改环境变量。 加入环境变量LM_LICENSE_FILE,变量值为C:/Modeltech_6.0/license.dat 则modelsim安装成功.还需在modelsim安装的文件夹下拷贝已经编译好的一些库文件,为其后面的处理作好准备,三个库文件为XilinxCoreLib_ver、unisims_ver、simprims_ver。 进入ModelSIM安装文件夹,找到一个名为ModelSIM.ini的文件,将其属性由只度改为存档,在[Library]段的最后加上simprims_ver = $MODEL_TECH/../simprims_ver XilinxCoreLib_ver= $MODEL_TECH/../XilinxCoreLib_ver unisims_ver= $MODEL_TECH/../unisims_ver 目的是让ModelSIM运行时可以自动加载编译好的库文件。 二、Xilinx.ISE.v6.3i.Incl.Keymaker的安装 双击其安装软件进行安装,光盘里有两张光盘,和一个ID产生器,安装两个光盘时,要使用同一个ID。 将Xilinx.ISE.v6.3i.VIRTEX.VIRTEXE.AddXilinx .ISE .v6.3i.VIRTEX.VIRTEXE.Addon.Add 分别解压缩,将解压缩得到的文件夹拷贝到Xilinx安装的目录下(第二个文件只需拷贝最後一个文件) ISE6.2的安装,大体一致,记得有选择set/update时全选,不然有些功能无法适用,至于升级,打开edit后,在perference中去掉就可以了。 如果你装了防火墙,如天网、瑞星,第一次启动时,要一直允许ise访问网络,不然会抱错的。 经试验,最好安装在winXP系统下。 三、SYNPLICITY_SYNPLIFY_PRO_V7.7的安装 将其完全解压后进行安装,其中的license要选择使用本机的license,然后用解压后的 license.txt覆盖SYNPLICITY目录下的license,用解压后的覆盖 Synplicity\Synplify_77\bin\mbin目录下的同名文件。 发现环境变量改变为SYNPLICITY_LICENSE_FILE,变量值为C:\Synplicity\license.txt。软件整体安装成功!

无机与分析化学实验指导

有关分析化学的实验基础操作 1.称量 2.搅拌溶解 3.定容 4.容量瓶相对校正:用25 mL移液管移蒸馏水至250 mL容量瓶,移取10次,看液面是否和容量瓶的刻度一致。 5.滴定 实验1 酸碱标准溶液的配制和浓度的比较 一、目的 1.练习滴定操作,初步掌握准确地确定终点的方法。 2.练习酸碱标准溶液的配制和浓度的比较。 3.熟悉甲基橙和酚酞指示剂的使用和终点的变化。初步掌握酸碱指示剂的选择方法。 二、原理 浓盐酸易挥发,固体NaOH容易吸收空气中的水分和CO2,因此不能直接配制准确浓度的HCl和NaOH标准溶液;只能先配制近似浓度的溶液,然后用基准物质标定其准确浓度。也可用另一已知准确浓度的标准溶液滴定该溶液,再根据它们的体积比求得该溶液的浓度。 酸碱指示剂都具有一定的变色范围。0.1 mol·L-1NaOH和HCl 溶液的滴定(强碱与强酸的滴定),其突跃范围为pH 4~10,应当选用在此范围内变色的指示剂,例如甲基橙或酚酞等。NaOH溶液和HOAc溶液的滴定,是强碱和弱酸的滴定,其突跃范围处于碱性区域,应选用在此区域内变色的指示剂(如酚酞)。

三、试剂 1. 浓盐酸 2. 固体NaOH 3. 0.1 mol·L-1醋酸 4. 0.1%(质量分数)的甲基橙指示剂 5. 酚酞指示剂(用6+4乙醇—水溶液配制) 6.甲基红指示剂(用6+4乙醇一水溶液配制) 四.步骤 1. 0.1mol·L-1HCl溶液和0.1mol·L-1NaOH溶液的配制 通过计算求出配制250mL浓度为0.1mol·L-1的HCl溶液所需浓盐酸(相对密度1.19,约12mol·L-1)的体积。然后,用小量筒量取此量的浓盐酸,加入水中(分析实验中所用的水,一般均为蒸馏水或去离子水,故除特别指明者外,所说的“水”,意即蒸馏水或去离于水),并稀释成250mL,贮于玻塞细口瓶中,充分摇匀。 同样,通过计算求出配制250mL浓度为0.1mol·L-1的NaOH溶液所需的固体NaOH的量,在台秤上迅速称出(NaOH应置于什么器皿中称?为什么?)置于烧杯中,立即用250mL(用量筒量取蒸馏水即可)水溶解,配制成溶液,贮于具橡皮塞的细口瓶中,充分摇匀。 固体氢氧化钠极易吸收空气中的CO2和水分,所以称量必须迅速。市售固体氢氧化钠常因吸收CO2而混有少量Na2CO3,以致在分析结果中引入误差,因此在要求严格的情况下,配制NaOH溶液时必须设法除去CO32-离子,常用方法有二: (1)在台秤上称取一定量固体NaOH于烧杯中,用少量水溶解后倒入试剂瓶中,再用水稀释到一定体积(配成所要求浓度的标准溶液),加人1~2mL 200g·L-1BaCl2溶液,摇匀后用橡皮塞塞紧,静置过

Xilinx ISE 使用入门手册

Xilinx ISE使用入门手册1 发布日期:2009-3-613:06:10文章来源:搜电浏览次数:2940 1、ISE的安装 现以ISE5.2i为例介绍Xilinx ISE Series的安装过程。 1)系统配置要求 ISE5.2i推荐的系统配置与设计时选用的芯片有关。因为在综合与实现过程中运算量非常大,所以对系统配置要求很高。为了提高综合、仿真、实现过程的速度,对于计算机的CPU的主频、主板和硬盘的工作速度,尤其是内存大小配置都有非常高的要求。在ISE5.2i 支持的所有Xilinx的FPGA/CPLD中,要求最低的Spartan II和XC9500/XL/XV等系列需要的内存和虚拟内存推荐值均达到128MB,而对于Virtex-II XC2V8000来说,需要的内存和虚拟内存推荐值均高达3GB。 2)ISE5.2i的安装 以中文版Windows XP操作系统为例加以说明。 (1)启动Windows XP,插入ISE5.2i安装光盘,自动或选择执行Install.exe,安装界面如图4.25所示。 图4.25ISE5.2i安装界面

(2)单击此时安装界面上的操作选择“下一步”直到出现图示对话框,输入有效的Registration ID。之后单击“下一步”选择安装路径;再之后点击“下一步”,会弹出图4.26的对话框,可以选择器件模型。 图4.26器件模型选择对话框 (3)点击“下一步”,如图4.27所示,可以选择器件种类。

图4.27器件种类选择对话框 通过以上步骤后,可根据具体情况来选择,继续“下一步”即可完成安装。 安装完成后,环境变量应作如下描述: 若操作系统是Windows NT/2000/XP,选择开始->控制面板->系统->选项->系统->高级->环境变量,在环境变量中加入: 变量名:Xilinx 变量值:C:Xilinx(即安装路径) 具体设置如图4.28所示。

Spartan-14.7平台与ISE软件的入门

Spartan-3平台与ISE软件的入门 一、快速浏览Spartan-3E Starter Kit的用户指南,便于以后进行内容查找。 中文用户指南:Spartan-3E Starter Kit Board User Guide.mht 英文用户指南:Spartan-3E Starter Kit Board User Guide.pdf 二、ISE软件安装。 根据资料自学完成安装(在个人电脑上),ISE推荐版本:14.7。注意需要破解(即提供license)。 三、ISE软件的初次使用。 在Spartan-3E开发板上,使用ISE软件,完成一个最基本工程的建立、编程、仿真、下载实现。 3.1 1)运行ISE Design Suite软件,界面如下

File New Project a)设置顶层文件类型Top-level source type为Schematic(原理图)

b)选择工程的保存位置Location和工作目录Working Directory 为fpgaxunlian c)为工程取名,如:xunlian1 点击Next 进行下述设置

根据观察我们使用的Spartan 3E开发板上的FPGA芯片上的字,可知目标FPGA芯片的属性如下 芯片系列(Family):Spartan3E 芯片型号(Device):XC3S500E 芯片封装(Package):FG320 速度等级(Speed):-4(注:XILINX的FPGA,值越大,速度等级越高) 综合工具(Systhesis Tool)选择ISE自带的XST 仿真工具(Simulator)选择ISE自带的Isim 编程语言(Preferred Language)选择Verilog 然后,点击Next

基于Xilinx-ISE-12.4的FPGA使用例子

基于ISE 12.4的FPGA设计基本流程 关键字:FPGA XILINX ISE 12.4ISE设计流程时序仿真 信息化调查找茬投稿收藏评论好文推荐打印社区分享 ISE是使用XILINX的FPGA的必备的设计工具,它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。本文主要通过一个最简单的“点亮LED灯”实例介绍了基于ISE 12.4软件的FPGA设计流程,包括设计输入、仿真、约束、下载等。 0 前言 一套完整的FPGA设计流程包括电路设计输入、功能仿真、设计综合、综合后仿真、设计实现、添加约束、布线后仿真和下载、调试等主要步骤。 图1 FPGA设计流程 目前赛灵思公司FPGA设计软件的最新版本是ISE 13,由于笔者暂未用到该版本,本文中以ISE 12.4为例。 ISE 9以后的版本的安装文件都是集成到了一个包当中,安装起来很方便。软件包里面包含四个大的工具,ISE Design Tools、嵌入式设计工具EDK、PlanAhead、Xtreme DSP设计工具System Generator。ISE设计工具中包含ISE Project Navigator、ChipScope Pro 和以下工具: 图2 ISE软件包中部分工具 做一般的FPGA逻辑设计时只需要用到ISE设计工具,下面通过一个最简单的“点亮LED 灯”实例,具体讲解ISE设计工具的使用,并介绍基于ISE的FPGA设计基本流程。 1 创建工程 (1)在桌面快捷方式或开始→所有程序→Xilinx ISE Design Suite 12.4→ISE Design Tools中打开ISE Project Navigator。 (2)单击File→New Project...出现下图所示对话框。

分析化学实验思考题

基础化学实验Ⅰ(下) 基本知识问答 1 指出下列情况中各会引起什么误差?如果是系统误差应采取什么方法避免? 答:(1)砝码被腐蚀:系统误差中的仪器误差,通过校正仪器消 除。 (2)在重量分析中被测组分沉淀不完全:系统误差中的方法误差,通过对比试验消除。 (3)天平两臂不等长:系统误差中的仪器误差,通过校正仪器消 除。 (4)容量瓶和移液管不配套:系统误差中的仪器误差,通过校正仪器消除。 (5)试剂中含有微量被测组分:系统误差中的试剂误差,通过做空白试验消除。 (6)读取滴定管读数时最后一位数字估测不准:偶然误差。 (7)某人对终点颜色的观察偏深或偏浅:系统误差中的主观误差,通过严格训练,提高操作水平。 (8)天平的零点稍有变动:偶然误差。 (9)移液管移液后管尖残留量稍有不同:偶然误差。 (10)灼烧SiO2沉淀时温度不到1000℃:系统误差中的方法误差,通过对比试验消除。

2 系统误差产生的原因有哪些,如何消除测定过程中的系统误差? 答:系统误差产生的原因有方法误差、试剂误差、仪器误差和主观误差。方法误差可通过对比试验进行消除;试剂误差可通过空白试验进行消除;仪器误差可以通过校正仪器来消除;通过严格的训练,提高操作水平予以避免。 3 准确度和精密度有何区别?如何理解二者的关系?怎样衡量准确度与精密度? 答:精密度表示分析结果的再现性,而准确度则表示分析结果的可靠性。精密度高不一定准确度高,而准确度高,必然需要精密度也高。精密度是保证准确度的先决条件,精密度低,说明测定结果不可靠,也就失去了衡量准确度的前提。准确度的高低用误差来衡量;精密度的高低用偏差来衡量。 4 某分析天平的称量误差为±0.2mg,如果称取试样的质量为0.0500g,相对误差是多少?如果称量1.000g时,相对误差又是多少?这些数值说明什么问题? 答:称取试样的质量为0.0500g,相对误差为: 称取试样的质量为1.000g,相对误差为: 这些数值说明对同一仪器来说,所称质量越大,相对误差越小,准确度越高。

ISE12.4教程

0 前言 一套完整的FPGA设计流程包括电路设计输入、功能仿真、设计综合、综合后仿真、设计实现、添加约束、布线后仿真和下载、调试等主要步骤。 图1 FPGA设计流程 目前赛灵思公司FPGA设计软件的最新版本是ISE 13,由于笔者暂未用到该版本,本文中以ISE 12.4为例。 ISE 9以后的版本的安装文件都是集成到了一个包当中,安装起来很方便。软件包里面包含四个大的工具,ISE Design Tools、嵌入式设计工具EDK、PlanAhead、Xtreme DSP设计工具System Generator。ISE设计工具中包含ISE Project Navigator、ChipScope Pro 和以下工具: 图2 ISE软件包中部分工具 做一般的FPGA逻辑设计时只需要用到ISE设计工具,下面通过一个最简单的“点亮LED 灯”实例,具体讲解ISE设计工具的使用,并介绍基于ISE的FPGA设计基本流程。 1 创建工程 (1)在桌面快捷方式或开始→所有程序→Xilinx ISE Design Suite 12.4→ISE Design Tools中打开ISE Project Navigator。 (2)单击File→New Project...出现下图所示对话框。

图3 新建工程对话框 在该界面输入工程名、选择工程存放路径、选择顶层模块类型,其中顶层模块类型有硬件描述语言(HDL)、原理图(Schematic)、SynplifyPro默认生成的网表文件(EDIF)、Xilinx IP Core和XST生成的网表文件(NGC/NGO)这四种选项,这里我们使用Verilog模块作为顶层输入,所以选HDL。 (3)单击Next >进入下一步,弹出下图所示对话框。

分析化学实验指导实验六 食用白醋中醋酸含量的测定

实验六 食用白醋中醋酸含量的测定 一.实验目的 1.掌握NaOH 标准溶液的标定方法. 2.了解基准物质邻苯二甲酸氢钾的性质及应用 3.掌握强碱滴定弱酸的滴定过程、指示剂选择和终点的确定方法。 二、实验原理 1.HAC 浓度的测定 已知HAC 的Ka =1.8×10-5,食用白醋中HAC 的CKa>10-8,故可在水溶液中,用NaOH 标准溶液直接准确滴定. 滴定反应: HAC + NaOH = NaAC +H 2O 弱碱性(pH SP =8.72,) 当用0.1mol·L -1的NaOH 溶液滴定时, 突跃范围约为pH=7.7~9.7。 凡是变色范围全部或部分落在滴定的突跃范围之内的指示剂,都可用来指示终点。 指示剂:酚酞(能否用甲基橙或甲基红?) 终点:无色→微红色(30S 内不褪色。为什么?) 2.NaOH 标准溶液的标定 用基准物质准确标定出NaOH 溶液的浓度 基准物质:邻苯二甲酸氢钾,草酸 邻苯二甲酸氢钾:优点:易制得纯品,在空气中不吸水,易保存,摩尔质量大,与NaOH 反应的计量比为1:1。 在100~125℃下干燥1~2h 后使用。 滴定反应为: 化学计量点时,溶液呈弱碱性(pH≈9.20),可选用酚酞作指示剂。 式中m 邻苯二甲酸氢钾 —单位g , V NaOH —单位ml 。 草酸 H 2C 204﹒2H 2O:(1)在相对湿度为5%~95%时稳定。(能否放置在干燥器中保存?) (2)用不含CO 2的水配制草酸溶液,且暗处保存。 注意:光和Mn 2+能加快空气氧化草酸,草酸溶液本身也能自动分解。 滴定反应为: COOH COOK +NaOH COOK COONa +H 2O 1 .1000 )(-?=L m ol V M m C NaOH NaOH 邻苯二甲酸氢钾

ISE教程

光盘放进DVD光驱,等待其自动运行(如果没有自动运行,直接执行光盘目录下的Setup.exe文件程序即可),会弹出图4-1所示的欢迎界面,点击“Next”进入下一页。 图4-1 ISE安装过程的欢迎界面 2.接着进入注册码获取、输入对话框,如图4-2所示。注册码可以通过网站、邮件和传真方式申请注册码。如果已有注册码,输入后单击“Next”按键后继续。

图4-2 ISE9.1安装程序的注册码输入界面 购买了正版软件后,最常用的方法就是通过网站注册获取安装所需的注册码。首先在Xilinx的官方主页 https://www.wendangku.net/doc/0511058027.html,上建立自己的帐号,然后点击图4-1中的“Website”按键,登陆帐号,输入CD盒上的产品序列号(序号的格式为:3个字符+9个数字),会自动生成16位的注册码,直接记录下来即可,同时Xilinx网站会将注册码的详细信息发送到帐号所对应的邮箱中。 3.下一个对话框是Xilinx软件的授权声明对话框,选中“I accept the terms of this software license”,单击“Next”后进入安装路径选择界面,如图4-3所示。单击“Browse”按键后选择自定义安装路径,单击“Next”按键继续 图4-3 ISE软件安装路径选择对话框 4.接下来的几个对话框分别是选择安装组件选择,如图4-4所示,用户需要选择自己使用的芯片所对应的模块,这样才能在开发中使用这些模块。在计算机硬盘资源不紧张的情况下,通常选择“Select All”。

图4-4 ISE安装组件选择界面 评论[支持者: 0 人,反对者: 0 人,中立者: 0 人] 查看评论信息 2008-11-26 20:53:00 第3楼 小大 个性首页| QQ 5.随后进入设置环境变量页面,保持默认即可。如果环境变量设置错误,则安装后不能正常启动ISE。选择默认选项,安装完成后的环境变量,其值为安装路径。最后进入安装确认对话框,单击Install按钮,即可按照用户的设置自动安装ISE,如图4-5所示

赛灵思ISE设计流程介绍

The ISE? design flow is shown in the following figure and described in the following sections. Note The following sections provide links to additional Help topics. In the Help Viewer, click the Synchronize TOC button to view all related Help topics. Design Creation During design creation, you create an ISE project and then, create or add source files to that project. ISE projects can contain many types of source files and design modules, including HDL, EDIF/NGC netlist, schematic, intellectual property (IP), embedded processor, and Digital Signal Processing (DSP) modules. For more information, see the following topics: Understanding the ISE Project File Design Entry Overview Working with Source Control Systems Synthesis During synthesis, the synthesis engine compiles the design to transform HDL sources into an architecture-specific design netlist. The ISE software supports the use of Xilinx Synthesis Technology (XST), which is delivered with the ISE software, as well as third party synthesis tools, including Synplify, Synplify Pro, and Precision software. For more information, see the following topics: XST Synthesis Overview Using Synplify or Synplify Pro Software for Synthesis Using Precision Software for Synthesis

分析化学实验课教案

安全教育;仪器的认领、洗涤 一、教学目标: 1.正确、熟练地掌握定量化学分析实验的基本操作技能,学习并掌握典型的分析方法。 2.充分运用所学的理论知识指导实验;培养手脑并用能力和统筹安排能力。 3.确立“量”、“误差”、和“有效数字”的概念;学会正确、合理地选择实验条件和实验仪器,以保证实验结果的可靠性。 4.通过自拟方案实验,培养综合能力。如信息、资料的收集与整理,数据的记录与分析,问题的提出与证明,观点的表达与讨论;树立敢于质疑,勇于探究的意识。 5.培养严谨的科学态度和实事求是、一丝不苟的科学作风;培养科学工作者应有的基本素质。 二、教学要求: 1.课前必须认真预习。通过预习了解每个实验的基本原理,数学操作步骤及注意事项,测定结果的计算方法,实验中的误差来源。做好必要的预习笔记,未预习者不得进行实验。 2.集中精力做好实验。实验中要求仔细观察、认真思考,学会利用所学理论解释实验现象,分析产生误差的原因。 3.保持实验台面整洁。学生到实验室后,可利用上课前的时间将实验要用的仪器准备好,并整齐地摆放在实验台上。实验进行时,也要始终保持实验台面的整洁,将仪器及所需要的试剂摆放整齐有序。 4.实事求是地、认真地做好实验记录。所有实验数据,尤其是各种测量的原始数据,必须随时记录在专用的、预先编好页码的实验记录本上。不得记录在其他任何地方,不得涂改原始实验数据。 5.认真写好实验报告。实验报告一本包括实验题目、日期、实验目的、实验原理、仪器与试剂、实验步骤、原始记录、实验结果(列出计算公式)。简要地用文字和化学反应方程式说明方法及原理,简明扼要地写出实验步骤,把实验数据用文字、表格或图行表示出来,并根据实验要求计算出分析结果。最后对实验教材上的思考题及实验中观察到的现象进行讨论和分析,以提高自己分析问题和解决问题的能力。 6.遵守实验室的各项制度。注意节约使用试剂、滤纸、纯水与自来水、电等。实验结束后,应马上清洗自己使用过的玻璃器皿,整理实验台面,并把自己用过的试剂、仪器归位。离开实验室前,要进行安全检查,认真清扫实验室,最后检查水电等开关是否关好,关好门窗后,方可离开实验室。 7.每次实验不得迟到。迟到超过15分钟取消此次实验资格。因病、因事缺席,必须请假。 三、清点仪器: 对照“仪器清单”,核查各类仪器的规格、数量、质量。首先在实验室内“多退少补”,互相

相关文档