文档库 最新最全的文档下载
当前位置:文档库 › “出租车计费”算法分析与程序设计教案

“出租车计费”算法分析与程序设计教案

“出租车计费”算法分析与程序设计教案
“出租车计费”算法分析与程序设计教案

VB录入、运行源程序的操作、使用教案

一、教材分析

算法作为信息科技课程教学内容,旨在培养和提高学生的逻辑思维能力,以及用计算机去分析问题、解决问题的能力。然而算法的相关概念比较枯燥,理论过于抽象,对学生的能力要求较高,所以在教学过程中往往难以把握,也不容易引发学生的兴趣。因此需要教师在教学设计和课堂教学中,运用各种手段,使教学内容生动起来,活起来。

二、关于教学目标

在知识目标方面:通过对出租车计价器收费方法的算法设计,使学生理解分支结构解决问题的基本思想,能用分支结构算法来解决实际问题。

在能力目标方面:通过对出租车计价器收费方法的算法设计,培养和提高学生逻辑思维能力以及培养学生在算法研究中的自学探究能力和解决具体问题的能力。在情感目标方面:通过对出租车计价器收费方法的算法设计,激发学生兴趣,提高学生学习的主动性和积极性。让学生知道算法设计在现实生活中的重要性和程序设计的实用性。同时也倡导同学间的相互研究讨论的风气,逐步养成合作学习的好风气,取长补短、共同提高。

三、关于教学设计

中小学信息科技课程既承担着让中小学生了解、熟悉、掌握信息科技的基础知识和基本操作技能的任务,又承担着通过学习,学会利用信息技术发展创造性思维,培养解决真实、开放问题能力的任务。

四、关于教学策略

通过项目式学习,一般要求学生应以小组为单位,联系学习、生活的实践,设计学习任务、课题或项目,教师只起组织、指导作用,并考虑制定可行的评价方案。对于在项目活动中出现学生思维出现盲点或陷入小巷思维时,教师因势利导,给与学生适时的引导与帮助。这样将更有利于学生正确地分析问题、思考问题,学生思维才能得到更有效的培养和锻炼。

最后,期望通过本项目学生能充分理解分支结构解决问题的基本思想,根据算法画出流程图。同时能形成相互研究讨论的风气,逐步养成合作学习的好风气,取

长补短、共同提高。

五、教学重点与难点

[教学重点]

1.理解解析法的基本概念。

2.根据算法写出程序代码并且调试程序

[教学难点]

1.解析式方程

2.如何用VB用算法写出的程序得出正确的解答

六、学情分析:

在学习本节内容之前,学生已经学习了算法的概念,初步掌握了算法的表示方法,学会使用流程图或自然语言描述算法,理解了顺序、选择、循环三种执行模式。

七、教学过程设计

[教学过程]

引入:出租车乘过吗?了解费用怎么计算?

教师活动:随机抽查2~3个小组,要求小组代表起来发言,描述一下计费方法。学生活动:了解各地乘出租汽车的计费方法。要求每个学生在相互讨论的基础上,独立完成任务。

问题陈述:

某城市的出租车计费标准为起步价10元(3公里内),此外,在3公里到10公里之间每公里2.1元,超过10公里部分每公里3元。

问题解决分析问题:

(1)三种计费情况:

(2)方程表达:

10 0

Y= 10+(x-3)*2.1 3

10+2.1*7+(x-10)*3 X>10 (3)确定算法画出程序流程图

写出程序代码:

Privat sub command1_click()

Dim x,y as single

X=val(inputbox("请输入行车距离"))

If x<=3 then

Y=10

Elseif x>3 and x<=10 then

Y=10+2.1*(x-3)

Else

Y=10+2.1*7+3*(x-10)

End if

Print y

End sub

活动反思:

教师提出一些供学生反思用的参考意见,引导学生作反思,如在活动中,遇到哪些问题?怎样解决?通过这次活动,有些怎样的体会?

[总结]

通过对问题的分析,引导学生运用熟悉的数学方法确定表达式,并且进而理解解析算法的概念,并且深入的学习它。这样在以后遇到不同的算法问题都可以通过这种方法来学习,对增强学生解决问题增强了信心。

出租车管理系统

目录 摘要 (2) 第一章绪论 (1) 第二章汽车租赁系统需求分析 (2) 2.1汽车租赁系统的功能性需求 (2) 2.2 系统主要模块 (2) 第三章相关技术介绍 (3) 3.1 什么是UML (3) 3.2 UML的技术核心 (4) 3.3 面向对象的定义及分析 (4) 第四章建模分析 (6) 4..1 需求模型——出租车租赁系统需求模型 (6) 4.1.1 用例图的概念 (6) 4.2 系统的参与者 (6) 4.3 用例图 (6) 4.3.1 职员用例图 (7) 4.3.2客户用例图 (8) 4.3.3 还车用例图 (8) 4.4分析类图 (9) 4.5时序图 (10) 4.5.1 出租车管理信息时序图 (10) 4.5.2 出租车租赁管理时序图 (11) 4.6状态图 (11) 4.6.1 汽车管理状态图 (11) 4.6.2 出车车管理状态图 (12) 4.7组件图 (13) 4.8部署图 (13) 第五章代码实现 (14) 5.1 用户界面设计 (14) 第六章总结 (20) 参考文献 (21)

摘要 随着汽车工业的发展和汽车的普及,汽车租赁成为近年来兴起的一个新行业。使用汽车租赁管理系统可以规范企业的管理和经营行为,减少企业的经营成本,提高工作效率。 在系统中,要实现对汽车基本信息的添加、修改、删除、和查询;实现客户信息的添加、修改、删除和查询以及汽车租赁、归还等具体操作,以满足当前该行业在处理业务上的需求。 针对以上的要求,汽车租赁管理系统的用户是汽车租赁企业的管理人员,其需求功能应包括汽车信息管理、客户信息管理和汽车租赁、归还管理等主要功能,从而可以形成统一有效的管理。 另外,该系统开发采用Rational Rose进行统一建模。我在本小组中主要负责需求模型这一块,我将对用例图这部分内容做详细分析。 关键字:面向对象,UML,汽车租赁

出租车计价器课程设计报告

昆明理工大学信息工程与自动化学院学生实验报告 (2012 —2013 学年第 2 学期) 课程名称:可编程逻辑器件设计开课实验室:信自楼 2013 年4月 11日

一、引言 汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。我们设计采用8086为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 二、总体设计方案 利用8253作为定时/计数器,控制电机转速并测量电机的转数;8255作为输入输出接口,控制数码管显示启动后经过的里程数(小数点后取2位有效值)。 硬件连接说明: 直流电机控制器的基础上,增加了一个电机测速电路构成。 8255的B口作为数码管的笔划码驱动;C口的低4位作为段码控制,显示实际里程,同时,也用于输出键盘的列扫描码;PC6、PC7输入行扫描码,用于识别按键,程序中仅使用了PC6行上的四个按键,其定义如下: X1:启动/停止键;X2:加速键,与X3、X4键组合使用;X3:“+”键;X4:“-”键。 A口仅使用了PA0,输出PWM脉冲信号,通过驱动芯片驱动电机,其输出电压的高低与PWM脉冲的占空比成正比。 电机的转数,通过霍尔元件变换为脉冲个数,送入8253的通道2,计数后,将转数转换为里程数,最小单位为0.01公里,送CPU记录,结果由数码管显示出来。

出租车计费系统的设计好

1.所选题目:出租车计费器 2.设计要求:设计一个出租车计费器,能按路程计费,具体要求如下: (1)实现计费功能,计费标准为:按行驶里程计费,起步价为7.00元,并在汽车行驶2km 后按1.2元/km 计费,当里程数达到15km 后,没千米加收50%的空驶费,车辆停止和暂停时不计费。 (2)现场模拟汽车的启动、停止、暂停和换挡等状态。 (3)设计数码管显示电路,将车费和路程显示出来,各有1位小数。 3.设计原理:设计该出租车有启动键、停止键、暂停键和档位键。启动键为脉冲触发信号,当其为一个脉冲时,表示汽车已启动,并根据车速的选择和基本车速发出相应频率的脉冲(计费脉冲)以此来实现车费和路程的计数,同时车费显示起步价;当停止键为高电平时,表示汽车熄火,同时停止发出脉冲,此时车费和路程计数清零;当暂停键为高电平时,表示汽车暂停并停止发出脉冲,此时车费和路程计数暂停;档位键用于改变车速,不同的档位对应着不同的车速,同时路程计数的速度也不同。 4.模块设计:出租车计费器可分为两大模块,即控制模块和译码显示模块。系统框图如下: 5.电路符号:出租车计费器的输入信号有:计费时钟脉冲clk ;汽车启动键start ;汽车停止键stop ;档位speedup 。输出信号:7段显示控制信号seg7;小数点dp 。 6.具体设计流程: (1)根据出租车计费原理,将出租车计费部分由5个计数器来完成分别为counterA ,counterB ,counterC ,counterD ,counterE 。①计数器A 完成车费百位。②计数器B 完成车费十位和个位。③计数器C 完成车费角和分(显示时只显示角)。④计数器D 完成计数到20(完成车费的起步价)。⑤计数器E 完成模拟实现车行驶100 m 的功能。 计费时钟 档位 启动 控制模块 显示模块 暂停 停止

出租车智能调度系统_baidu

智能出租车系统 1 系统概述 利用全球定位、无线通讯、视频识别、数据库等技术,实时采集出租汽车的地理位置、运动信息、载客状态,候车点人员数量,通过数据分析和处理,提供远程监测、报警、智能约车、电子稽查等服务。该系统将合理利用交通资源,有效缓解出租车空载和乘客约车难、等车时间长的矛盾问题,同时为乘客营造安全舒适的乘车环境。 2 现状和需求分析 目前全国出租车保有量有150多万部,但是出租车的快速增长同时,带来了很多交通、治安、管理上的问题,主要问题如下: 1.出租车资源利用率不高,空载率较高,相反城市交通资源紧。 2.乘客普遍反映约车难、等车时间长。郊区经常出现出租车过少的现象,市区 有些大型候车点“人多车少、人少车多”的不合理现象普遍存在。 3.由于出租车行驶围广,安全防性能差,不法分子就把出租车作为其主要犯罪 目标,抢劫甚至杀害出租车司机的案件屡有发生,给社会治安和人民群众的生命财产安全带来极大的危害。 4.乘客在出租车上丢失物品时有发生,但找回失物的可能性小。 5.出租车乱停乱放、随意越线掉头、超速超车、冲红灯、乱鸣喇叭、不按规则 营运等交通行为突出,扰乱了正常交通秩序,导致交通事故高发。 6.黑出租车无证运营,不仅扰乱了正常的市场秩序,也成为困扰交通管理部门 的一个难题。传统的稽查手段主要是依靠稽查人员的经验,工作效率并不高,信息共享程度有限。 城市出租车数量近年来增长迅速,但是行业管理的相对落后带来了种种弊病:效率低,费用高,实时性差,调度分散,资源浪费,行业发展受阻。为了适应城

市交通的不断发展和社会治安的改善,出租车的智能化管理已提上议事日程 3 应用解决方案 约车专员 多个服务器工作站交换机路由器 大屏控制中心无线网络通讯出租车控制指挥中心 GPS 接收模块 无线网络收发模块控制模块 驾驶员信息交互设备 出租车前端 大型出租车候车点无线网络通讯 无线网络收发模块 LED 信息牌摄像头手机上网约车外网 远程访问公共服务接口 约车业务接口 公共服务部门紧急按钮 有源RFID 标签摄像头 基站 PDA 业务接口 图3.1 系统设计框图(功能整合到一起) 如上图所示,本系统通过在GPS 定位,视频识别,无线传输等技术,为出租车驾驶员合理安排等车路线,安全驾驶提供帮助,为乘客智能约车,了解周边出租车信息提供必要的服务,同时驾驶中心可以对出租车进行监控和黑车稽查。

出租车计价器 课程设计报告

软件学院 课程设计报告 课程 题目出租车计价器 班级集成13-4 专业集成电路设计与集成系统 学生学号 指导教师(填写自己班的指导教师) 年月日 1.课程设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻

辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 2.课程设计题目描述和要求 2.1.课程设计题目描述 ①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。 ②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。 ③.将车费和路程显示出来,各有一位小数。 2.2.总体设计思路框架 2.3.课程设计题目要求 ①.设计正确,方案合理。 ②.程序精炼,结构清晰。 ③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。 ④.上机演示。 ⑤.有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 3.设计思想和设计内容 3.1 出租车计费设计

该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。 3.2 基本设计思想 出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。之后再根据三方面分别设计模块。1.显示模块。一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。2、里程模块。设计要求对里程计数主要分为两个方面,计数以及公里数比较。即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。所以,我们将里程模块分为里程计数模块以为比较模块。3.计费模块。计费模块同里程比较模块所以将两个模块合二为一,为价格计算模块。 4.Verilog代码 4.1顶层模块 module taxi( clk,stop,rst_n, time1,time2,time3,time4, seg1,seg2,seg3,seg4 ); input clk; input stop; input rst_n; output [6:0]time1; output [6:0]time2; output [6:0]time3; output [6:0]time4;

推荐-基于FPGA出租车计费系统的设计 精品

南京大学金陵学院 本科毕业 院系信息科学与工程系 专业电子信息科学与技术 题目基于FPGA出租车计费系统的设计 提交日期20XX年6月3 日

摘要 通过出租车计费系统的设计,详细介绍了如何使用硬件描述语言Verilog HDL设计复杂逻辑电路的步骤和过程,以及应用美国ALTERA公司的Quartus II 9.0软件进行系统设计、仿真和下载实现的方法。通过设计,可以看到在EDA平台上用CPLD器件构成该数字系统的设计思想和实现过程。论述了计费模块、时钟模块、动态显示模块、计费形式判断模块、控制模块等的设计方法和技巧。 关键词:CPLD/FPGA; 电子自动化设计; Verilog HDL硬件描述语言; 出租车计费器; Quartus II 9.0软件

ABSTRACT Taxi billing system through the design, details how to use the hardware des-cription language Verilog HDL design plexity and the process logic and the applicatio-n of the U.S. pany's Quartus II 9.0 ALTERA software system design, simulation, anddownload the realization of the method. By design, you can see in the EDA platform usi-ng CPLD devices constitute the digital system design and implementation process. Discuss-es the billing module, clock module, dynamic display module, billing forms to judge mod-ule, control module and other design methods and techniques. Keywords: CPLD/FPGA; EDA; Verilog HDL; Taxi Billing Machine; Quartus II 9.0

出租汽车服务管理信息系统架构浅析——放百度教材

出租汽车服务管理信息系统架构浅析 摘要:出租汽车是城市综合交通体系的重要组成部分,出租汽车服务管理信息系统是对出租汽车及行业的日常服务监管、运营管理的信息化手段,出租汽车服务管理信息系统的建设,将为公共交通信息化的发展起到推进作用。 关键词:出租汽车、管理系统、车载、智能服务终端。 1.概述 出租汽车是人民群众日常出行的重要方式之一,是城市综合交通体系的重要组成部分,是社会文明程度的重要窗口,被称为“城市流动的风景线”和“城市的名片”。随着我国一、二级城市人口的不断增长、作为城市道路交通旅客运输业的重要组成部分,出租汽车已成为社会公众出行不可或缺的重要交通工具。 城市出租汽车行业对于我国来说仍然属于新兴的行业,自形成以来就在政府摸索管理和自我不断完善的环境中成长,且发展速度较快。不同发展阶段因外部环境的快速变化和政策的更迭滞后,极易造成出租运输市场的矛盾骤显,行业稳定性与一些传统行业相比仍有差距。而面对我国出租汽车行业存在的种种现象,首要的问题既是如何行之有效地对出租汽车日常服务进行监管,如何更好地规范行业运营管理,因此信息化不可避免的将引入出租汽车行业的日常服务与监管中来。 2.需求分析 1.1.面向行业管理部门 行业管理部门将通过信息化手段有效打击套牌车,避免拒载、甩客、宰客、不打发票等违规行为的发生;可以通过信息化手段对IC卡从业资格证进行管理,包括初始化、制卡、发放、换证等业务;通过出租车从业资格证的使用,对全市范围内的出租车运营行驶状态进行监督,并全程记录每个出租车的运营行驶信息;通过信息化手段获得行业相关信息为行业监管和决策提供数据支持;在重大节庆假日、外事活动等应急运力保障方面利用信息化技术提供有效的运力调配手段;同时行政执法人员应可利用信息化手段进行移动稽查,可对假证、年审年检、包括道路运输证和从业资格证,克隆车和非法运营车辆等违规行为进行监督稽查,并可实时自动记录违规车辆及人员信息。 1.2.面向驾驶员 通过信息化的手段为出租汽车驾驶员提供人身财产安全保障,满足驾驶员的需求,具体包括:防劫报

出租车计费系统的设计 毕业设计论文

目录 摘要 (1) 第1章绪论 (2) 第2章系统设计 (3) 2.1系统组成 (3) 2.1.1 计费及显示 (3) 2.1.2 时钟及显示 (3) 2.1.3 计费开始提示 (1) 2.2功能模块设计 (1) 第3章硬件及软件实现 (3) 3.1传感器 (3) 3.1.1 光电传感器电路设计 (3) 3.1.2车轮光电开关检测电路 (4) 3.2单片机 (4) 3.2.1 8051 单片机功能方块图 (5) 3.2.2 AT89S51单片机简介 (7) 3.2.3 AT89S5l与51系列单片机相比具有如下特点 (8) 3.2.4 89S51的内部框图 (9) 3.2.5 中断控制 (11) 3.2.6 单片机控制程序设计 (12) 3.2.7 单片机汇编语言源程序 (16) 3.3显示及按键控制系统 (31) 3.3.1 LED数码管 (31) 3.3.2 数据显示电路的设计 (32) 第4章系统检测及分析 (34) 4.1系统仿真/硬件验证 (34) 4.1.1 系统的调试方法 (34) 4.1.2 系统的硬件验证 (34) 4.2设计技巧分析 (35) 结论 (36) 附录A: FPGA芯片引脚 (37)

摘要 本系统电源电压为+16V,速度传感器具有汽车每运行1㎞提供1000个脉冲信号的特性。显示器可以采用LED数码管。系统采用单片机和FPGA的结合进行系统的主体设计,测控FPGA芯片通过采集传感器脉冲信号WCLK进行里程计算,里程计费,利用外部脉冲信号SCLK产生标准时钟信号,以计算等待时间,等待费用,并产生里程标志(LCBZ),等待标志(DDBZ),熄灯标志(XDBZ)等有关控制标志信号,同时根据单片机发出的开始信号(START),时段标志(SDBZ),传输数据选择(SEL)等控制信号将有关计算结果传送给FPGA芯片。单片机MCU除了完成键盘扫描,显示控制外,还通过P0口与FPGA进行数据交换,并向测控FPGA芯片发出有关控制信号。本设计方案利用单片机和FPGA的结合,发挥它们各自的长处,分工清晰,实际使用和操作符合大众逻辑,容易被人接受。而且,单片机丰富的I/O口和FPGA 模块化的设计为系统功能的扩展提供了空间和便利。 关键词计费系统,单片机,FPGA芯片

(VHDL实验报告)出租车计费器的设计

电子科技大学成都学院学院

一、实验名称 出租车计费器的设计 二、实验目的 1、了解出租车计费器的工作原理。 2、学会用V HDL 语言编写正确的七段码管显示程序。 3、数量掌握用V HDL 编写复杂功能模块。 4、进一步数量状态积在系统设计中的应用。 三、实验原理 出租车计费器一般都是按公里计费,通常是起步价 xx元(xx元可以行走x公里),然后再是x x元/公里。所以要完成一个出租车计费器,就要有两个计数单位,一个用来计公里,另外一个用来计费用。通常在出租车的轮子上都有传感器,用来记录车轮转动的圈数,而车轮子的周长是固定的,所以知道了圈数自然也就知道了里程。在这个实验中,就要模拟出租车计费器的工作过程,用直流电机模拟出租车轮子,通过传感器,可以得到电机每转一周输出一个脉冲波形。结果的显示用 8 个七段码管,前四个显示里程,后三个显示费用。 在设计VHDL程序时,首先在复位信号的作用下将所有用到的寄存器进行清零,然后开始设定到起步价记录状态,在此状态时,在起步价规定的里程里都一直显示起步价,直到路程超过起步价规定的里程时,系统转移到每公里计费状态,此时每增加一公里,计费器增加相应的费用。 为了便于显示,在编写过程中的数据用BCD码来显示,这样就不存在数据格式转换的问题。比如表示一个三位数,那么就分别用四位二进制码来表示,当个位数字累加大于9时,将其清零,同时十位数字加1,依此类推。 四、实验内容 本实验要完成的任务就是设计一个简单的出租车计费器,要求是起步价3元,准行1公里,以后1元/公里。显示部分的七段码管扫描时钟选择时钟模块的

1KHz,电机模块的跳线选择GND端,这样通过旋钮电机模块的电位器,即可达到控制电机转速的目的。另外用按键模块的S1来作为整个系统的复位按钮,每复位一次,计费器从头开始计费。直流电机用来模拟出租车的车轮子,没转动一圈认为是行走1米,所以每旋转1000 圈,认为车子前进1公里。系统设计是需要检测电机的转动情况,每转一周,计米计数器增加 1。七段码管显示要求为前 4个显示里程,后3个显示费用。 五、实验步骤 1、打开Q UARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个V HDL File,打开V HDL 编辑器对话框。 3、按照实验原理和自己的想法,在V HDL 编辑窗口编写V HDL 程序。其 程序如下所示:

数字电路出租车计价器设计

时序逻辑电路课程设计 引言: 我们组选择了题目一,设计出租车计价器,通过对课本、资料的查阅,再经过构思,设计,搭建电路,仿真,得出了结果,虽然不够完美,但是也掌握了一些知识,增加了对各种原件的印象。下文将详细给出设计。 一、资料查阅 通过参考数字电路课本,以及数字电路实验书,再经过网络查阅,也参考了网上有的类似的设计,想出了电路的基本思路。 二、对于要求的实现 要求1:根据出租车上的速度传感器传来的脉冲个数和设置的里程单价来计算对应的总价格,并将总价格通过LED实时显示。 对于此要求的实现,通过查阅,我们发现了74LS160十位计数器,有预制与清零功能,能进行0-9重复计数。功能表如下 要求2:起步价可以设置:。 由于74LS160有置数功能,所以可以置数,能够要求其从一个确定的数开始计数,所以可以设置起步价。对于从要过了起步价里程才开始计数,因为每一个单位里程是一次脉冲,而芯片又是每一次脉冲才记一次数,所以应用触发器的存储功能来使前几次脉冲无法传至芯片。 要求3:里程单价可以设置。 运用比例乘法器,可以实现脉冲的改变。比如用CC4527比例乘法器,输入一个BCD 数,其输出的脉冲为输入脉冲的BCD的十分之一倍。比如速度传感器的每个脉冲为1km,单价为2元,那么就输入20的BCD数,那么比例乘法器就会输出一个2倍速度传感器脉冲的脉冲信号,以此脉冲作为后面电路的时钟信号,可以实现单价2元。其他单价以此类推,但是由于仿真软件中没有找到比例乘法器,所以仿真中没有单价设置这一项。 要求4:可以对总价格进行复位,从而为下次计费做好准备。

运用74LS160的置数功能,重新对其进行置数即可实现下次重新开始计费。 三、具体电路 时序逻辑:左下角U1为里程脉冲发生器,经过N个D锁存器的延时,才可以传至上面的74LS160芯片,芯片开始计数,显示在右上方的两位显示器上,即计程车的价钱。电路中有两个单刀单置开关,上面的S1和下面的S2。S1的作用为每次重新搭载乘客后的清零和重新显示起步价,S2的功能为开启里程传感器,为计程车进行计价。下面对电路各个部分进行解释: 1.计数部分: 用N块74LS160芯片,即可显示N位十进制数,本次仿真以2位为例,也就是只可以显示0-99元的价格。上图七段显示管U5为十位,U3为个位。如图通过开关S5进行起步价设置控制,芯片有CR和LD引脚,CR为1,LD为0时可以进行置数,入上图,设置起步价为5元。由于当有脉冲时候,才会执行置数功能,而又不能和里程传感器用同一个时钟信号,所以单独设置了一个信号源,通过S1开关,既控制LD电位,又通过与门或门非门作为选择开关控制U16信号源的信号是否输入芯片。当开关断开,LD电位为0,U16信号导通到U2芯片,执行置数功能。当S1开关闭合,CR和LD电位都为1,以里程脉冲作为时钟信号执行计数功能,从预制的数字开始计数。

最新出租车计价器课程设计

出租车计价器课程设 计

大学 《单片机应用与仿真训练》设计报告 出 租 车 计 价 器 姓名: 学号: 3 姓名: 学号: 专业班级:自动化09-7班 指导老师: 所在学院:电气学院 2012年7月4 日 摘要

本设计基于AT89SC51单片机,采用霍尔传感器采集轮胎转数的信息,使用外部中断0将霍尔传感器采集的信息输入到单片机,实现对于出租车行驶里程的计算;对于中途等待以及红绿灯等待,使用定时器计时,并将时间转化为里程数以计费;输出设备采用数码管,本设计采用的是两个4位一体的共阴极数码管,输出里程数和费用;此外,本设计还设计了状态指示灯,用以指示计价器的工作状态和显示状态。 出租车计价器是出租车行业计费系统的核心,是出租车行业发展的重要标志,性能良好的计价器对于乘客和出租车行业都是必需的。 关键词:计价器、单片机、霍尔传感器

目录 1 概述 (4) 1.1 出租车计价器概述 (4) 1.2 单片机的概述 (4) 2 总体方案设计 (6) 2.1 设计任务要求 (6) 2.1.1 设计任务 (6) 2.1.2 设计要求 (6) 2.2 设计的主要功能 (6) 2.3 方案的选取 (7) 2.3.1 硬件设计方案 (7) 2.3.2 软件设计方案 (8) 3 硬件设计 (8) 3.1 AT89S52单片机及最小系统 (9) 3.2 测距单元 (11) 3.3 按键单元和状态显示单元 (14) 3.4 数码显示单元 (15) 4 软件设计 (17) 4.1 系统主程序 (17) 4.2 数据处理子程序 (18) 4.3 等待时间计时子程序 (19) 4.4 键盘扫描子程序 (20) 5 Proteus软件仿真 (21) 6课程设计过程中遇到的问题 (22) 7 课程设计体会 (23) 参考文献 (24) 附1、原程序代码 (25) 附2、系统原理图 (30)

出租车计费系统的设计

摘要

The design of taxi billing system Abstract The design is for a multi-function taxi meter billing system. It can complete a variety of billing functions and can be charged by one kilometer. The fare and distance will be displayed by dynamic scanning circuit. This design uses hardware description language VHDL. It researches on the EDA software platform and the design uses the software of Quartus II as a development platform, using top-down design method. This taxi meter billing system based on FPGA is made up of four basic blocks. The main chip of the system is EP1K100QC208-3 which is made by the company of ALTERA .The system is made up of frequency module, mileage count module, billing module and dynamic scanning module. The program we designed can be compiled and simulation, then it can be downloaded to the FPGA / CPLD chip. The results show that the system can complete a variety of fare functions and will be displayed at the same time. It’s also able to simulate the taxi start, stop and suspend state. Keyword:Billing system; hardware description language; FPGA; display

城市出租车智能化整体解决方案

城市出租车 智能化整体解决方案 2015.7

1. 设计目标和原则 针对出租车行业的需求和实际业务要求,出租车系统采用先进信息技术来推动企业信息化建设,以达到降低运营成本、提高服务水平、提高企业收益的有效目的。该系统具备超大系统容量、强大兼容性和高度伸缩性,可以实现对出租车辆的调度和管理;可以对车辆进行准确有效的定位、监控、营运调度,并提供各种信息服务;可以对车辆动态营运状态和相关技术数据进行实时监控、收集,通过与企业其他信息管理系统的无缝连接,提高企业的现代化管理水平,降低企业运行成本,使企业为自身和社会创造更大的效益。 该系统是按照先进、实用、经济,持续发展(可进行系统持续升级)的要求进行设计,充分体现模块化系统集成的设计思想。出租车电召北斗管理系统,通GPRS 通信网络和北斗卫星定位以及车载终端实现对车辆的实时调度监控、防止非本车司机驾驶,提高出租车行业的便捷性、车辆运行的安全性和处理突发事件的能力,进一步加强对车辆运营和司机岗位的管理,为出租车行业提供了一种全新的车辆管理手段。系统充分体现系统集成的思想,网络符合总体规划及可持续发展,具有高度稳定性,保证24×7 运转。系统设计在一个较高的起点上,具备强大的二次开发功能和灵活的组件,采用标准协议和先进的高新技术,充分保证系统的可伸缩性和可扩展性,具备相当的通讯和计算机网络设备的信息容量及处理能力,并有一定的超前性,软硬件预留接口,便于维护、升级和扩展,以适应将来整个系统信息化发展的要求。系统采用权限管理和网络安全相关措施,保证数据和系统的安全。通过预留技术接口和标准的数据接口,能够与企业的其他信息系统对接并提供大量的实时数据。

出租车计价器课程设计

摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器 》 @

第一章绪论 出租车计价器概述 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 / 本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 单片机的概述 计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。巨型化发展的目的在于不断提高计算机的运算速度和处理能力,以解决复杂系统计算和高速数据处理,比如系统仿真和模拟、实时运算和处理。单片化是把计算机系统尽可能集成在一块半导体芯片上,其目的在于计算机微型化和提高系统的可靠性,这种单片计算简称单片机。单片机的内部硬件结构和指令系统主要是针对自动控制应用而设计的所以单片机又称微控制器MCU(Micro Controller Unit)。用它可以很容易地将计算机嵌入到各种仪器和现场控制设备中,因此单片机又叫做嵌入式微控制器(Embedded MCU)。单片机自20世纪70年代问世以来,以其鲜明的特点得到迅猛发展,已广泛应用于家用电器、智能玩具、智能仪器仪表、工业控制、航空航天等领域,经过30多年的发展,性能不断提高,品种不断丰富,已经形成自动控制的一支中坚力量。据统计,我国的单片机年容量已达1~3亿片,且每年以大约16%的速度增长,但相对于国际市场我国的占有率还不到1%。这

出租车公司信息管理系统

题目出租车公司信息管理系统 摘要 本论文主要介绍了出租车公司管理信息系统的开发过程,开发过程中遵循了软件工程的方法,运用管理信息系统开发的原理和方法,结合管理思想,设计和实现了出租车公司管理信息系统。 全文分为前言,系统分析,系统设计,系统实施,总结与评价和参考文献部分。在出租车公司管理信息系统开发过程中运用了PowerBuilder作为软件开发工具,运用Access作为后台数据库。 该系统的开发和运用使用户从原有的手工操作方式转换为数字化的信息管理方式,大大提高工作效率和准确性,为门店整个系统的开发打下良好的基础。

目录 第一章引言 (3) 第二章系统分析 (4) 2.1系统调查 (4) 2.1.1 组织层次图介绍 (4) 2.1.2 系统开发的基本环境 (5) 2.1.3 目前面临的问题 (5) 2.2可行性分析 (5) 2.2.1 经济可行性 (5) 2.2.2 技术可行性 (6) 2.2.3 营运可行性 (6) 2.2.4 结论 (6) 2.3软件系统的总目标 (6) 第三章需求分析 (8) 3.1业务流程图 (8) 3.2数据流图 (12) 3.2.1 关联图 (13) 3.2.2 顶层数据流图 (13) 3.2.3 一层数据流图(日营运汇总) (16) 3.2.4 一层数据流图(保险管理) (17) 3.2.5 一层数据流图(车辆维修管理) (18) 3.3数据字典 (19) 3.3.1 数据元素 (19) 3.3.2 数据流 (20) 3.3.3数据存储 (20) 3.3.4 加工 (21) 3.3.5外部项 (21) 3.4代码设计 (22) 第四章概要设计 (24) 4.1概述 (24) 4.2系统总体布局方案 (24) 4.3软件模块结构设计 (25) 4.3.1 HIPO 图 (26) 4.3.2 IPO图 (28) 4.4数据库设计 (33) 4.4.1 E-R图的实体及其属性 (33) 4.4.2 实体之间的联系 (33) 4.4.3 系统的E-R图 (35) 4.4.4 关系转换规则 (35) 4.4.5 关系模式 (36)

出租车计费系统

桂林电子科技大学 EDA技术接口实验 设计报告 题目:基于FPGA的出租车计费系统院(系):电子工程与自动化学院 专业:控制科学与工程 学生姓名:陈凯 学号:1308202021 指导教师:潘明 职称:副教授 理论研究实验研究工程设计 2014年 5 月28日

基于FPGA的出租车计费系统 摘要 出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用FPGA进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。 设计好之后,用1602液晶显示器,显示你想要显示的数据。 关键词:出租车计价器 1602液晶显示器

The taxi billing system based on FPGA Abstract The meter taxi passengers and the driver was both sides of the trading rules, it is an important symbol of the taxi industry development, what’s more, it is the most important tools in the taxi. It involves the interests of both parties. So it is very necessary to have good performance of the meter not only for the taxi driver but also for the passengers. Therefore, the study of the auto meter also has an application value. But the design of the meter with analog circuit and digital circuit have a big size, it may have a higher failure rate, it also may be more difficult to debug. Mechanical switch is used to switch the mode, but once it beyond the legal time, it can lead to poor contact, the function is also not easy to achieve. However using FPGA to design may be relatively more powerful, it is more easily to achieve the design requirements with less hardware and appropriate software interaction. In view of the switch billing mode, it can be easily and for implementation through software programming. Thus it avoids the instability brought by mechanical switch. After the design, we can use the 1602 liquid crystal display to show what we want to display the data Keywords: taxi control 1602lcd

基于单片机的出租车计价器设计报告

《单片机应用与仿真训练》设计报告出租车计价器设计 姓名学号: 专业班级: 指导老师: 所在学院: 2012年6月25日

摘要 本设计用直流电机转动模拟出租车车轮转动,实现出租车多功能地计价功能.设计采用AT89S52单片机为主控芯片,用光电对管检测电机转盘转速,采用24C02芯片为系统在掉电时路程、钱数等重要信息提供存储保护,采用两个四位8段共阴数码管显示里程、总价格和等待时间等信息.本设计可以实现单双程设置、系统暂停、空车指示、信息显示、掉电保护等功能. 出租车计价是根据车所行驶地路程以及乘客乘车地方式综合决定地.出租车行驶总路程可以通过车轮地周长乘车轮旋转圈数得到.即可计算得到车轮旋转几周出租车能行驶一公里地路程.使用光电对管能方便地计量车轮旋转地圈数,输出地脉冲信号被接入到AT89S52单片机系统中,通过计算接收到地脉冲个数,计算出当前所行驶地路程,并且可以计算实时地速度.与此同时,根据不同地收费标准计算收费.通过键盘能够实现往返设置,启动、暂停、停止计价器、设置单返程等. 关键字:出租车计价器,AT89S52,24C02,光电对管 Abstract This design with DC motor to rotate the analog taxi wheels turning, multi-purpose taxi pricing function. Design using AT89S52 MCU for master chip with a photoelectric tube test motor turntable speed, AT24C02 chip to make the system power-down distance, money and other important information on the storage protection, the use of two four-segment common cathode digital display tube mileage, the total price and waiting time information. This design enables single and double-way set, system halted, empty instructions, information display, power-down protection and other functions. The taxi meter according to the distance traveled by the vehicle and passengers ride the decision. Taxi driving the total distance by the circumference of the wheel drive wheel rotation laps. Can be calculated by the wheel rotation a few weeks a taxi to travel one kilometer away. The use of opto-the number of turns of the tube can be easily measured wheel rotation, the output

出租车计价器课程设计

. 摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器

第一章绪论 1.1 出租车计价器概述 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 1.2 单片机的概述 计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。巨型化发展的目的在于不断提高计算机的运算速度和处理能力,以解决复杂系统计算和高速数据处理,比如系统仿真和模拟、实时运算和处理。单片化是把计算机系统尽可能集成在一块半导体芯片上,其目的在于计算机微型化和提高系统的可靠性,这种单片计算简称单片机。单片机的部硬件结构和指令系统主要是针对自动控制应

相关文档
相关文档 最新文档