文档库 最新最全的文档下载
当前位置:文档库 › 基于单片机音频信号分析仪设计

基于单片机音频信号分析仪设计

基于单片机音频信号分析仪设计
基于单片机音频信号分析仪设计

2007年A题音频信号分析仪

本系统基于Altera Cyclone II 系列FPGA嵌入高性能的嵌入式IP核(Nios)处理器软核,代替传统DSP芯片或高性能单片机,实现了基于FFT的音频信号分析。

音频信号分析仪

山东大学王鹏陈长林秦亦安

摘要:本系统基于Altera Cyclone II 系列FPGA嵌入高性能的嵌入式IP核(Nios)处理器软核,代替传统DSP芯片或高性能单片机,实现了基于FFT的音频信号分析。并在频域对信号的总功率,各频率分量功率,信号周期性以及失真度进行了计算。并在FPGA中嵌入了8阶IIR切比雪夫(Chebyshev)II型数字低通滤波器,代替传统有源模拟滤波器实现了性能优异的音频滤波。配合12位A/D转换芯片AD1674,和前端自动增益放大电路,使在50mV到5V的测量范围下,单一频率功率及总功率测量误差均控制在1%以内。

关键词:FPGA;IP核;FFT;IIR;可控增益放大

Abstract: This system is based on IP core(Nios)soft-core processors embedded in the FPGA of Altera Cyclone II family. Instead of using DSP or microcontroller, we use Nios II to perform a low-cost FFT-based analysis of the audio signal.And we caculated the power of the whole signal,the power of each frequence point that componented the signal.By the way,we anlysised its periodicity and distortion.We also embedded an 8-order Chebyshev II IIR digital low-pass filter to replace the traditional analog Active Filter to perform an excellent audio filter. With 12bit A / D converter chip AD1674, and the front-end automatic gain amplifier, this system’s single-frequency power and total power measurement error is below 1% in 50mV to 5V measurement range.

Keyword: FPGA;IP core; FFT;IIR; automatic gain amplifier

一、方案选择与论证

1、整体方案选择

音频分析仪可分为模拟式与数字式两大类。

方案一:以模拟滤波器为基础的模拟式频谱分析仪。有并行滤波法、扫描滤波法、小外差法等。因为受到模拟滤波器滤性能的限制,此种方法对我们来说实现起来非常困难。

方案二:以FFT为基础的的数字式频谱分析仪。通过信号的频谱图可以很方便的得到输入信号的各种信息,如功率谱、频率分量以及周期性等。外围电路少,实现方便,精度高。

所以我们选用方案二作为本音频分析仪的实现方式。

2、FFT计算方式选择

方案一:使用VHDL 硬件实现。FFT的VHDL程序编写难度大,短时内不易实现。

方案二:在FPGA中嵌入Nios II处理器,通过软件实现。Nios II 支持C语言编程方式,普通的C语言版的FFT稍加改正即可应用到本方案中。

四天之内我们不可能实现一个用硬件实现的FFT算法,因此我们选用方案二。

3、采样电路与A/D芯片选择

本设计中要求分析的信号峰峰值范围为100mVp-p~5Vp-p,用8位A/D进行采样,不能满足题目的精度要求,采用12位的A/D芯片AD1674,其分辨率可达到1.2mV(相对于5Vp-p信号),满足了题目要求的5%误差范围。同时其100K的采样频率也满足本设计中的频率要求。

4、信号调理方案

AD1674信号输入满偏电压在双极性时为±5V,即峰峰值10V。

方案一:将输入信号放大2倍,以达到AD1674的满偏输入,以提高A/D 的精度。但若输入信号都比较小时,采样精度就会下降。

方案二:将前端信号放大调理电路分为几个档,针对不同幅度的信号选择合适的通道进行放大,放大倍数以当前信号中的最大峰值为选择基准。这样在输入信号比较小时可以选择比较大的放大倍数,以提高A/D采样的精度。

明显方案2优于方案1。

5、采样及滤波方案选择

方案一:按照奈奎斯特定律采样,以传统模拟方式滤波。传统模拟方式或有源滤波芯片难以实现很好的频带外衰减。从而使运算结果误差增大。

方案二:在A/D前进行简单的抗混叠滤波,以比较高的速率采样,然后在FPGA中用数字滤波器进行精确滤波。滤波后进行二次采样以减少运算量。切比雪夫(Chebyshev) II型低通IIR滤波器有平坦的通带,等波纹的抑制频带、适中的过度频带,非常适合于音频滤波。可以使分析达到很好的精度。

方案二外围电路要求少,实现方便,我们采用方案二。

6、信号功率的计算。

方案一:通过测真有效值的方式实现,应用普通的真有效值检测芯片可以方便的测出信号在一定时间段内的总功率。但对单个频点处的功率测量无能为力。

方案二:在用FFT得到信号的频谱后根据帕斯瓦尔定律可以很方便的得到信号各频率分量的功率及信号的总功率。

因为本设计中我们可以通过FFT得到信号的频谱,因此方案二最适合本设计。

总体方案确定:

经过以上论证我们确定总体设计方案框图如下:

图1-1、系统整体方案框图

二、系统具体设计与实现

1、前端可控增益放大电路及增益控制电路

针对音频信号的特点以及题目中对精度的要求,我们选用了特别适合音频信号处理的经典运放NE5532。峰值保持部分使用普通运放TL084。

信号进入后首先经过一与50欧姆精密电阻并联的跟随器,以满足题目中的50欧姆输入阻抗的要求,同时增强带后级带负载的能力。然后经过隔直电容进入后级放大电路。

放大电路同时设置了×1,×2,×20,×200,4个放大通道,分别对10Vp-p、5Vp-p、500mVp-p、50mVp-p信号进行不同放大,这样将可测量信号的动态范围扩展到了10mV;电路图见图2-1。同时通过峰值保持电路记录一个FFT运算周期内的信号峰值,通过与设定的参考电压进行比较以确定信号的峰峰值范围,以作为下一次采样时放大通道的选择参考;控制器通过模拟开关来选择不同的放大通道。

峰值保持电路部分采用精密二极管与充电电容进行信号峰值保持。为减小电容漏电流对峰值保持的影响,选择了47uF的电容。每次采样前对读入峰峰值范围并对电容放电以记录下一次的峰峰值。

图2-1、输入信号放大通路

图2-2、峰值保持电路

2、抗混叠滤波我们选择简单易用的管脚可编程滤波芯片MAX263来实现,该滤波芯片无需外加外围电路,减少了外界环境对其性能的影响。电路图见附图1-2

3、A/D转换部分电路见附图1-3。

4、数字滤波及Nios核实现方式见附图2-1。

5、本设计中增加了一分贝测量的附加功能。

三、理论分析与参数设计

1、采样频率计算:

根据奈奎斯特定律采样率应满足,本题目中信号输入频率最大为10KHz,因此,为进行二次采样,我们的采样率应大于40KHz。

进行FFT的点数按计算。又因为FFT运算量为2的n次方点时比较容易实现。在满足频率分辨力并尽量减小运算量的条件下我们可得出某一频率分辨率与采样率的关系。例如我们设计的频率分辨力为100Hz,则为分辨出10KHz的信号,则,求得N=200,我们取N=256。其他分辨力类推。本设计中我们设计了以下四个频率分辨力档:

**二次采样速度:原始采样数据经数字滤波后,经二次采样(降低采样率)后的实际采样速度。

2、IIR数字低通滤波器设计

在进行FFT运算之前应当尽量避免频谱的混叠,即对带外信号要进行尽可能大的衰减,挈比雪夫(Chebyshev) II型滤波器具有通带内平坦并且衰减速度快的特点。其相频响应及群延迟特性都比较好。其转移函数具体系数计算可通过Matlab的Filter Design & Analysis Tool来实现。得到系数后打开Altera DSP buider,在它的可视化界面中搭建出自己所需的滤波器。

3、信号功率及各频率分量功率计算:

连续傅立叶变换下的帕斯瓦尔能量定率为:,

离散傅立叶变换下的帕斯瓦尔能量定律为,由此可推出

同时可推出,即可得出个频率分量的功率。

在计算时应当注意补偿因经过滤波器时信号的衰减,以及根据放大倍数还原信号到其实际值。从而使计算结果更加精确。

4、信号周期性判断及周期测量

周期信号的频谱都是谐波离散的,它仅含有的各频率分量,即含有基频(T 为周期信号的周期)和基频的整数倍(n=1,2,3,…)这些频率成份,频谱图中相邻普贤的间隔是基频,周期信号越长,谱线间隔愈小,频谱愈稠密,反之则愈稀疏。这是周期信号频谱的最基本特点。

因此信号的周期性判断可用以下方式判断:从最小频率点开始观察,若最小频率分辨率点处的频率分量为0(实际不为0,而是一个很小的数值),则这个信号就是周期的。然后继续向上观察,出现第一个峰值的频率点处既是这个信号的基频。

这种分析方法虽然可以很方便的测出基频大于最小分辨率信号的周期,但是对于基频等于或小于最小频率分辨率的信号的周期性就无能为力了。要测出基频更小的信号的周期性就要增加FFT的点数。

5、正弦信号的失真度测量方法

一般地,正弦波的失真是用失真度,即所有谐波能量之和与基波能量之比的平方根来表示的。在频域中即可通过一下方式计算:

,其中为基波分量的傅立叶系数,、、…为谐波的傅立叶系数。

四、软件设计

在本设计中,控制及计算部分都由FPGA来实现。其中Nios核完成键盘控制,液晶显示、FFT算法实现、功率计算、周期性判断及失真度测量的功能;而自动增益控制、A/D转换控制以及数字滤波器则由VHDL语言实现,以减轻CPU 的负担,同时两部分并行处理提高了系统的速度。系统框图及流程图如下:

图4-1 软件流程图

五、指标测试

1.调试方法和过程

采用模拟电路由前端到后段,数字电路先仿真再试测,先逐个模块测试再连调的办法。

2.测试仪器(见附录三)

3.输入阻抗测量

使用分压法测量:在系统输入上串联一个的精度0.1%的电阻,用34401A 61/2数字万用表测量精密电阻和系统输入端的电压比值。

用TFG2040 DDS函数信号发生器输出:F=5KHz V p-p=1V 的正弦波

用34401A 61/2数字万用表测得精密电阻两端V p-p=0000.501V

测得系统输入端电压Vp-p=0000.499V 因此算得输入阻抗为:

4.信号总功率及各频率分量功率测量

使用两台TFG2040 DDS函数信号发生器产生两路信号叠加后进行测试,现列出一组典型信号测试结果:两路输入电压分别为V p-p1=1.0000V(0.01W),

=1KHz,Vp-p2=2.0000V(0.04W),

=3KHz。详细测试结果见附录三。

5.转换时间测试

每完成一次转换让Nios对外接的一个LED灯置高一次,再完成一次转换后将LED灯置低,依次循环。把本音频分析仪频率分辨率设定在10Hz,用秒表记录LED灯亮起10次(即20个刷新周期)的时间,记录3次,取均值。

(1)用1KHz方波测试失真度

用TFG2040 DDS函数信号发生器产生近似理想的1KHz方波

理论计算失真度:46% (计算到10次谐波)本系统测得失真度:46.8%

(2)测量用EE1642产生的不非常理想的正弦波

用ZQ4126失真度测试仪测得失真度:0.9%;

用本音频分析系统测得失真度:0.54%

7.动态范围测试

使用TFG2040产生5V 1KHz信号,D120 DDS产生5KHz小信号,两信号叠加后输入系统进行测试从100mV开始逐步调小小信号的幅度,从频谱图上观察是否可分辨小信号峰值。

8.

使用TFG2040产生1K的方波、正弦波、三角波进行测试:检测为周期波

使用TFG2040产生M序列,检测为非周期波。

六、结论

本设计很好的满足了题目要求,对频率分辨力、动态范围及精度都做了较大的扩展。其实本设计还有很大的提升空间,但由于我们的动手能力以及理论水平有限,没能很好的达到。通过本次设计,我们的动手能力以及处理问题的能力都有了很大的提高,并加深了对信号处理的理解,我们的收获很大!

参考书目:

【1】Vinay K. Ingle John G. Proakis 数字信号处理科学出版社 2006年【2】程佩青数字信号处理教程清华出版社 2004年

【3】吴京等信号与系统分析国防科技大学出版社2006年【4】周立功等SOPC嵌入式系统基础教程北京航空航天大学出版社【5】(美)Uwe Meyer-Baese 数字信号处理的FPGA实现清华大学出版社2006年

【6】Nios II Software Developer’s Handbook ALTERA co.

附录一:前向通道电路图

附图1-1、峰值范围确定电路

附图1-2、抗混叠滤波电路图

附图1-3、A/D模块电路图

附录二FPGA内部数字滤波及Nios核框图

附图2-1、FPGA内部嵌入IP核、采样控制器及滤波器组成框图

附录三、

1、测试仪器

TFG2040 DDS函数信号发生器D120 DDS函数信号发生器/计数器

EE1642 模拟函数发生器YB4360 模拟双踪示波器M890C+ 31/2数字万用表34401A 61/2数字万用表SS1792D 支流稳定电源ZQ4126 失真度测试仪

秒表

2、信号功率及各频率分量功率测量

附图3-1 信号功率测试电路图附录四、FFT源程序(仅核心部分)

#include

#include

#include

#define size_x N

typedef struct

{

double real;

double img;

}complex;

complex W[size_x/2];

complex x[size_x];

double PI;

void fft();

void initW();

void change();

void add(complex a,complex b,complex *c);

void mul(complex a,complex b,complex *c);

void sub(complex a,complex b,complex *c);

void divi(complex a,complex b,complex *c);

void output();

int main()

{

system("cls");

PI=atan(1)*4;

initW();

fft();

output();

return 0;

}

void fft()

{

int i=0,j=0,k=0,l=0;

complex up,down,product;

change();

for(i=0;i< (int)( log(size_x)/log(2) );i++)

{

l=( 1<

for(j=0;j

{

for(k=0;k

{

mul(x[j+k+l],W[size_x*k/2/l],&product);

add(x[j+k],product,&up);

sub(x[j+k],product,&down);

x[j+k]=up;

x[j+k+l]=down;

}

}

}

}

void initW()

{

int i;

for(i=0;i

{

W[i].real=cos(2*PI/size_x*i);

W[i].img=-1*sin(2*PI/size_x*i);

}

}

void change()

{

complex temp;

int i=0,j=0,k=0,t;

for(i=0;i

{

k=i;j=0;

t=(unsigned) (log(size_x)/log(2));

while(t--)

{

j=j<<1;

j|=(k & 1);

k=k>>1;

}

if(j>i)

{

temp=x[i];

x[i]=x[j];

x[j]=temp;

}

}

}

void output()

{

int i;

printf("The result are as follows\n");

for(i=0;i

{

printf("%.4f",x[i].real);

if(x[i].img>=0.0001)printf("+%.4fj\n",x[i].img);

else if(fabs(x[i].img)<0.0001)printf("\n");

else printf("%.4fj\n",x[i].img);

}

}

void add(complex a,complex b,complex *c)

{

c->real=a.real+b.real;

c->img=a.img+b.img;

}

void mul(complex a,complex b,complex *c)

{

c->real=a.real*b.real - a.img*b.img;

c->img=a.real*b.img + a.img*b.real; }

void sub(complex a,complex b,complex *c) {

c->real=a.real-b.real;

c->img=a.img-b.img;

}

单片机音乐频谱..

题目:单片机LED音乐频谱的设计院(系): 专业: 学生姓名: 学号: 指导教师: 2011年07月07日

摘要 该系统采用增强型8051单片机STC12C5A60S2为主控制器,通过单片机内置的ADC对音频信号进行采样、量化,然后通过快速傅里叶变换运算,在频域计算出音频信号各个频率分量的功率,最后通过双基色LED单元板进行显示。该方案具有电路结构简洁,开发、生产成本低的优点。 关键词:单片机;傅里叶;LED;

目录 1. 引言 (1) 2. 方案设计 (2) 2.1设计要求 (2) 2.2总体方案设计 (3) 2.3总体方案组成 (6) 3. 系统电路设计 (6) 3.1单片机主控电路设计主控制器 (6) 3.2LED显示模块电路设计 (7) 4. 软件设计 (8) 4.1软件设计流程图 (8) 5.系统的测试 (8) 6.结论 (9) 7.参考文献 (11) 8. 附录 (14)

1. 引言 本文介绍的音乐频谱显示器可对mp3、手机、计算机输出的音乐信号进行实时的频谱显示。系统采用增强型8051单片机STC12C5A60S2为主控制芯片,通过单片机内置的ADC对音频信号进行采样,把连续信号离散化,然后通过快速傅里叶变换(FFT)运算,在频域计算出音频信号各个频率分量的功率,最后通过双基色LED单元板进行显示。在显示的频率点不多的情况下,本系统比采用DSP或ARM作为主控制芯片的设计方案具有电路结构简洁,开发、生产成本低的优点。

2. 方案设计 2.1设计要求 1. 单片机自带AD 转换,这样省去外围AD 电路。 2. 控制LED 随着音乐跳动,需要理解傅里叶原理。 2.2总体方案设计 经分析,将系统分为两个部分,一个是由单片机组成的主控。另一部分是LED 显示部分,单片机对接收到的音频进行处理经过傅里叶换算后在LED 显示,5V 稳压电源给各个部分供电。 该系统实现的方法有很多种,下面将列出大家最经常用到的实现方案。系统框图如图1所示 图1 音乐频谱总体系统框图 该系统由音频信号预处理电路、单片机STC12C5A60S2控制电路、LED 频谱显示电路等部分组成。图l 为系统整体设计原理框图。 图1 系统整体设计原理框图 系统各组部分的功能:(1)音频信号预处理电路主要对输入的音频进行电压放大和电平提升。(2)单片机STC12C5A60S2控制电路采用内置的ADC 对音频信号进行采样量化,然后对量化后的音频数据采用FFT 算法计算其频谱值,再将各频谱值进行32级量化。(3)LED 频谱显示电路在单片机的控制下,负责将FFT 计算得到的音频信号的各个频点的大小进行直观显示。 1.音频信号预处理电路 图2 音频信号预处理电路 音频信号预处理电路见图2所示,对输入的音频进行电压放大和电平提升。手机、计算机输出的音频信号Vin 经过RP1进行电压调节后,经集成运放LMV358反相放大10倍(Av=-R3/R2=-10),提高系统的灵敏度。选用单电源供电的运放LMV358,一方面可以简化系统电源电路的设计,直接采用系统的+5V 供电即可;另一方面其输出端静态电压为VCC/2,即2.5V 。放大后的音频信号和这2.5V 叠加后变为直流电压信号,满足后面单片机内置的ADC 对输入电压量程的要求。另外,LMV358为轨到轨输出运放,它可在+5V 单电源供电条件下仍具有较大的动态输出范围。 2.单片机STC12C5A60S2控制电路 显示电路 音频信号 单片机 电源

基于单片机音频信号分析仪设计

2007年A题音频信号分析仪 本系统基于Altera Cyclone II 系列FPGA嵌入高性能的嵌入式IP核(Nios)处理器软核,代替传统DSP芯片或高性能单片机,实现了基于FFT的音频信号分析。 音频信号分析仪 山东大学王鹏陈长林秦亦安 摘要:本系统基于Altera Cyclone II 系列FPGA嵌入高性能的嵌入式IP核(Nios)处理器软核,代替传统DSP芯片或高性能单片机,实现了基于FFT的音频信号分析。并在频域对信号的总功率,各频率分量功率,信号周期性以及失真度进行了计算。并在FPGA中嵌入了8阶IIR切比雪夫(Chebyshev)II型数字低通滤波器,代替传统有源模拟滤波器实现了性能优异的音频滤波。配合12位A/D转换芯片AD1674,和前端自动增益放大电路,使在50mV到5V的测量范围下,单一频率功率及总功率测量误差均控制在1%以内。 关键词:FPGA;IP核;FFT;IIR;可控增益放大 Abstract: This system is based on IP core(Nios)soft-core processors embedded in the FPGA of Altera Cyclone II family. Instead of using DSP or microcontroller, we use Nios II to perform a low-cost FFT-based analysis of the audio signal.And we caculated the power of the whole signal,the power of each frequence point that componented the signal.By the way,we anlysised its periodicity and distortion.We also embedded an 8-order Chebyshev II IIR digital low-pass filter to replace the traditional analog Active Filter to perform an excellent audio filter. With 12bit A / D converter chip AD1674, and the front-end automatic gain amplifier, this system’s single-frequency power and total power measurement error is below 1% in 50mV to 5V measurement range. Keyword: FPGA;IP core; FFT;IIR; automatic gain amplifier 一、方案选择与论证 1、整体方案选择 音频分析仪可分为模拟式与数字式两大类。 方案一:以模拟滤波器为基础的模拟式频谱分析仪。有并行滤波法、扫描滤波法、小外差法等。因为受到模拟滤波器滤性能的限制,此种方法对我们来说实现起来非常困难。 方案二:以FFT为基础的的数字式频谱分析仪。通过信号的频谱图可以很方便的得到输入信号的各种信息,如功率谱、频率分量以及周期性等。外围电路少,实现方便,精度高。 所以我们选用方案二作为本音频分析仪的实现方式。

基于LABVIEW的虚拟频谱分析仪设计

目录 1 设计任务 (1) 1.1 技术要求 (1) 1.2 设计方案 (1) 2 基本原理 (1) 3 建立模型 (2) 3.1 系统前面板设计 (3) 3.2 系统程序框图设计 (3) 3.3 系统程序运行结果 (4) 4 结论与心得体会 (9) 4.1 实验结论 (9) 4.2 心得体会 (10) 5 参考文献 (10)

基于LABVIEW的虚拟频谱分析仪设计1设计任务 1.1 技术要求 1)设计出规定的虚拟频谱分析仪,可对输入信号进行频域分析,显示输入信号的幅度谱和相位谱等 2)设置出各个控件的参数; 3)利用LabVIEW实现该虚拟频谱分析仪的设计; 4)观察仿真结果并进行分析; 5)对该虚拟频谱分析仪进行性能评价。 1.2 设计方案 虚拟频谱分析仪的设计包括以下三个步骤: 1) 按照实际任务的要求,确定频谱分析仪的性能指标。 2) 按照实验原理想好设计思路,并且完成电路图及程序,然后在前面板和程序流程图中实现。 3) 完成电路设计,运行程序并且检查,直至无误后观察仿真结果并且分心。 2基本原理 本设计采用的是数字处理式频谱分析原理,方法为:经过采样,使连续时间信号变为离散时间信号,然后利用LabVIEW的强大的数字信号处理的功能,对采样得到的数据进行滤波、加窗、FFT 运算处理,就可得到信号的幅度谱、相位谱以及功率谱。FFT的输出都是双边的,它同时显示了正负频率的信息。通过只使用一半FFT输出采样点转换成单边FFT。FFT的采样点之间的频率间隔是fs/N,这里fs是采样频率。FFT和能量频谱可以用于测量静止或者动态信号的频率信息。FFT提供了信号在整个采样期间的平均频率信息。因此,FFT主要用于固定信号的分析(即信号在采样期间的频率变化不大)或者只需要求取每个频率分量的平均能量。 在采样过程中,为了满足采样定理,对不同的频率信号,选用合适的采样速率,从而防止频率混叠。实际中,我们只能对有限长的信号进行分析与处理,而进行傅立叶变换的数据理论上应为无限长的离散数据序列,所以必须对无限长离散序列截断,只取采样时间

音频信号分析仪(A题一等奖)

题目名称:音频信号分析仪(A题) 华南理工大学电子与信息学院参赛队员:陈旭张洋林士明 摘要:本音频信号分析仪由32位MCU为主控制器,通过AD转换,对音频信号进行采样,把连续信号离散化,然后通过FFT快速傅氏变换运算,在时域和频域对音频信号各个频率分量以及功率等指标进行分析和处理,然后通过高分辨率的LCD对信号的频谱进行显示。该系统能够精确测量的音频信号频率范围为20Hz-10KHz,其幅度范围为5mVpp-5Vpp,分辨力分为20Hz和100Hz两档。测量功率精确度高达1%,并且能够准确的测量周期信号的周期,是理想的音频信号分析仪的解决方案。 关键词:FFT MCU频谱功率 Abstract:The audio signal analyzer is based on a32-bit MCU controller,through the AD converter for audio signal sampling,the continuous signal discrete,and then through the FFT fast Fourier transform computing,in the time domain and frequency domain of the various audio frequency signal weight and power,and other indicators for analysis and processing,and then through the high-resolution LCD display signals in the spectrum.The system can accurately measure the audio signal frequency range of20Hz-10KHz,the range of5-5Vpp mVpp,resolution of20Hz and100Hz correspondent.Power measurement accuracy up to1%,and be able to accurately measuring the periodic signal cycle is the ideal audio signal analyzer solution. Keyword:FFT MCU Spectrum Power

信号处理实验七音频频谱分析仪设计与实现

哈尔滨工程大学 实验报告 实验名称:离散时间滤波器设计 班级:电子信息工程4班 学号: 姓名: 实验时间:2016年10月31日18:30 成绩:________________________________ 指导教师:栾晓明 实验室名称:数字信号处理实验室哈尔滨工程大学实验室与资产管理处制

实验七音频频谱分析仪设计与实现 一、 实验原理 MATLAB 是一个数据分析和处理功能十分强大的工程实用软件,其数据采集工具箱为实现数据的输入和输出提供了十分方便的函数命令。本实验要求基于声卡和MTLAB 实现音频信号频谱分析仪的设计原理与实现,功能包括: (1)音频信号输入,从声卡输入、从WAV 文件输入、从标准信号发生器输入; (2)信号波形分析,包括幅值、频率、周期、相位的估计、以及统计量峰值、均值、均方值和方差的计算。 (3)信号频谱分析,频率、周期的统计,同行显示幅值谱、相位谱、实频谱、虚频谱和功率谱的曲线。 1、频率(周期)检测 对周期信号来说,可以用时域波形分析来确定信号的周期,也就是计算相邻的两个信号波峰的时间差、或过零点的时间差。这里采用过零点(ti)的时间差T(周期)。频率即为f = 1/T ,由于能够求得多个T 值(ti 有多个),故采用它们的平均值作为周期的估计值。 2、幅值检测 在一个周期内,求出信号最大值ymax 与最小值ymin 的差的一半,即A = (ymax - ymin)/2,同样,也会求出多个A 值,但第1个A 值对应的ymax 和ymin 不是在一个周期内搜索得到的,故以除第1个以外的A 值的平均作为幅值的估计值。 3、相位检测 采用过零法,即通过判断与同频零相位信号过零点时刻,计算其时间差,然后换成相应的相位差。φ=2π(1-ti/T),{x}表示x 的小数部分,同样,以φ的平均值作为相位的估计值。 频率、幅值和相位估计的流程如图1所示。 4、数字信号统计量估计 (1) 峰值P 的估计 在样本数据x 中找出最大值与最小值,其差值为双峰值,双峰值的一半即为峰值。 P=0.5[max(yi)-min(yi)] (2)均值估计 i N i y N y E ∑== 1 )( 式中,N 为样本容量,下同。 (3) 均方值估计 () 20 2 1 ∑== N i i y N y E (4) 方差估计 ∑=-=N i i Y E y N y D 0 2))((1)(

【目录】基于LABVIEW的虚拟频谱分析仪设计

【关键字】目录 目录 基于LABVIEW的虚拟频谱分析仪设计 1设计任务 1.1 技术要求 1)设计出规定的虚拟频谱分析仪,可对输入信号进行频域分析,显示输入信号的幅度谱和相位谱等 2)设置出各个控件的参数; 3)利用LabVIEW实现该虚拟频谱分析仪的设计; 4)观察仿真结果并进行分析; 5)对该虚拟频谱分析仪进行性能评价。 1.2 设计方案 虚拟频谱分析仪的设计包括以下三个步骤: 1) 按照实际任务的要求,确定频谱分析仪的性能指标。 2) 按照实验原理想好设计思路,并且完成电路图及程序,然后在前面板和程序流程图中实现。 3) 完成电路设计,运行程序并且检查,直至无误后观察仿真结果并且分心。

2基本原理 本设计采用的是数字处理式频谱分析原理,方法为:经过采样,使连续时间信号变为离散时间信号,然后利用LabVIEW的强大的数字信号处理的功能,对采样得到的数据进行滤波、加窗、FFT 运算处理,就可得到信号的幅度谱、相位谱以及功率谱。FFT的输出都是双边的,它同时显示了正负频率的信息。通过只使用一半FFT输出采样点转换成单边FFT。FFT的采样点之间的频率间隔是fs/N,这里fs是采样频率。FFT和能量频谱可以用于测量静止或者动态信号的频率信息。FFT提供了信号在整个采样期间的平均频率信息。因此,FFT主要用于固定信号的分析(即信号在采样期间的频率变化不大)或者只需要求取每个频率分量的平均能量。 在采样过程中,为了满足采样定理,对不同的频率信号,选用合适的采样速率,从而防止频率混叠。实际中,我们只能对有限长的信号进行分析与处理,而进行傅立叶变换的数据理论上应为无限长的离散数据序列,所以必须对无限长离散序列截断,只取采样时间内有限数据。这样就导致频谱泄漏的存在。所以利用用加窗的方法来减少频谱泄漏。由于取样信号中混叠有噪声信号,为了消除干扰,在进行FFT 变换之前,要先进行滤波处理。本设计采用了巴特沃斯(Butterworth)、切比雪夫(Chebyshev)、椭圆(Ellipse)、贝塞尔(Bessel)等滤波器。 以下说明时域分析与频域分析的功能 1)信号的时域分析主要是测量尝试信号经滤波处理后的特征值,这些特征值以一个数值的方式来表示信号的某些时域特征,是对尝试信号最简单直观的时域描述。将尝试信号采集到计算机后,在尝试VI中进行信号特征值处理,并在尝试VI前面板上直观地表示出信号的特征值,可以给尝试VI的使用者提供一个了解尝试信号变化的快速途径。信号的特征值分为幅值特征值、时间特征值和相位特征值。 2)信号的频域分析就是根据信号的频域描述来估计和分析信号的组成和特征量。测量时采集到的是时域波形,但是由于时域分析工具较少,往往把问题转换到频域来处理。频域分析包括频谱分析、功率谱分析、相干函数分析以及频率响应函数分析。通过信号的频域分析,可以确定信号中含有的频率组成成分和频率分布范围;还可以确定信号中的各频率成分的幅值和能量;同时还能分析各信号之间的相互关系。 3建立模型 本设计中用LabVIEW中的信号发生控件来代替信号采集部分产生信号。整个系统的设计均由软件来仿真实现。 本设计的虚拟频谱分析仪由两个软件模块组成:信号发生器模块和频谱分析模块。处理过程如下:首先将信号发生模块产生的尝试信号送数字滤波器处理,滤除干扰噪声,然后分别进行时域分析、频域

音频信号分析仪毕业论文

音频信号分析仪 指导老师:邓晶 年纪专业:11信息工程 成员:丽梅(1128401039) 东飞(1128401014) 罗兰(1128401128) 日期:2014年6月

摘要:本音频信号分析仪基于快速傅里叶变换的原理,以32位CPU STM32构成的最小系统为控制核心,由电压跟随、程控放大、峰值检测、抗混叠滤波等模块组成。本音频信号分析仪由STM32控制,通过AD转换,对音频信号进行采样,把连续信号离散化,然后通过FFT运算,对音频信号各个频率分量以及功率等指标进行分析和处理,然后通过高分辨率的LCD对信号的频谱进行显示。该系统能够精确测量的音频信号频率围为50Hz-10KHz,其幅度围为5mVpp-5Vpp,分辨力为50Hz。 关键词:FFT 嵌入式系统前级信号处理功率谱 Abstract: This audio signal analyzer based on the principle of fast Fourier transform, the minimum system consisting of STM32 embedded system as control core, followed by the voltage, program-controlled amplifier, peak detection, such as anti aliasing filter modules. This audio signal analyzer controlled by an embedded system, through the AD conversion, the audio signal sampling, the continuous signal discretization, then through FFT arithmetic, each frequency component and the power index in the audio signal analysis and processing, and then through high resolution display LCD frequency spectrum of the signal and the characteristics of. The system can accurately measure the audio signal frequency range of 50 -10K HZ, its amplitude range is 5 mVpp- 5 V pp ,resolution of 50 Hz.

基于单片机的音频频谱显示器设计

目次 1 绪论-----------------------------------------------------------1 2 系统功能-------------------------------------------------------1 3 系统设计-------------------------------------------------------2 3.1 主控单元----------------------------------------------------2 3.2 STC12C5A60S2 系列单片机单片机的A/D转换器-------------------10 3.3 STC12C5A60S2 系列单片机单片机的I/O口结构-------------------11 3.4 频谱显示单元-----------------------------------------------14 4 音频频谱显示相关问题-------------------------------------------16 4.1 频谱及频谱显示---------------------------------------------16 4.2 FFT运算规则及编程思想--------------------------------------17 5 总结-----------------------------------------------------------22 参考文献-------------------------------------------------------24 致谢-----------------------------------------------------------23 附录A 源程序-------------------------------------------------25 附录B 系统电路图---------------------------------------------32

Adobe-Audition-系列教程(二):频谱分析仪

Adobe Audition系列教程(二):频谱分析仪 频谱分析仪是研究信号频谱特征的仪器,在电子技术一日千里的今天,是研究、开发、调试维修中的有力武器。现代频谱分析仪都趋向于智能化,虚拟仪器技术广泛应用,有些就是以专用的计算机系统为核心设计的。其结果是结构大大简化、性能飞速提高。当然专业的频谱分析仪就比示波器更加昂贵了,业余爱好者更难用上。不过不必灰心,我们可以充分利用AdobeAudition的频谱分析功能,让你拥有精确频谱分析仪的美梦成真!? 1. 频谱显示模式? Adobe Audition本身有一种“频谱显示”模式。先打开一段波形,或用《妙用Adobe Audition:数字存储示波器》一文介绍的方法录制一段波形,即可进行频谱分析。这里我们新建一段20秒的对数扫频信号(本文大多选用直接建立的波形,以便了解信号原始波形的标准频谱特征),然后选择“View=>Spectral View”(视图=>频谱),如图1,或点击快捷工具栏的“Toggle between Spectral and Waveform views”(切换频谱视图/波形视图)按扭,即可将波形以频谱显示的方式显示出来,如图2。扫频的频谱显示见图3。 图1

图2 图3 可以看到,横轴为时间,纵轴为频率指示。每个时刻对应的波形频谱都被显示出来了,可以看到扫描速度是指数增加的,即将频率轴取对数时扫描速度是线性的。如图中光标处18秒处频谱指示约11KHz。实际上频谱指示的颜色是代表频谱能量的高低的,颜色从深蓝到红再到黄,指示谱线电平由低到高的变化。这实际上跟地图的地形鸟瞰显示是比较相似的,看图4频谱复杂变化的声音频谱就更容易理解这点了。 图4

简易频谱分析仪课程设计

东北石油大学课程设计 2014年7月18 日

东北石油大学课程设计任务书 课程通信电子线路课程设计 题目简易频谱分析仪 专业姓名学号 主要内容、基本要求、主要参考资料等 主要内容: 设计一个测量频率范围覆盖为10MHz-30MHz,可根据用户需要设定显示频谱的中心频率和带宽,还可以识别调幅,调频和等幅波信号的简易频谱分析仪。基本要求: (1)频率测量范围为10MHz--30MHz; (2)频率分辨力为10kHz,输入信号电压有效值为20mV±5mV,输入阻抗为50Ω; (3)可设置中心频率和扫频宽度; (4)借助示波器显示被测信号的频谱图,并在示波器上标出间隔为1MHz 的频标。 主要参考资料: [1]谢家奎.电子线路(非线性部分)[M].北京:高等教育出版社. [2] 张建华.数字电子技术[M].北京:机械工业出版社. [3] 陈汝全.电子技术常用器件应用手册[M].北京:机械工业出版社. 完成期限2014.7.14 — 2014.7.18 指导教师 专业负责人 2014年7 月14 日

摘要 系统利用SPCE061A单片机作为主控制器,采用外差原理设计并实现频谱分析仪:利用DDS芯片生成10KHz步进的本机振荡器,AD835做集成混频器,通过开关电容滤波器取出各个频点(相隔10KHz)的值,再配合放大,检波电路收集采样值,经凌阳单片机SPCE061A的处理,最后送示波器显示频谱。测量频率范围覆盖10MHz-30MHz,可根据用户需要设定显示频谱的中心频率和带宽,还可以识别调幅,调频和等幅波信号。 关键词:SPCE061A;DDS;频谱分析仪

基于FFT的音频信号分析仪报告

音频信号分析仪设计实践报告 摘要 系统基于快速傅立叶变换(FFT)算法,以FPGA和NIOS软核为数据处理与控制核心,实现对频率范围在100Hz~10KHz,电压范围(峰-峰值)在1mV~2.5V的音频信号频率成分的分析。系统由音频信号采集、FFT处理、FIFO数据缓存、NIOS软核控制和LABVIEW 上位机显示等模块组成,硬件采用Cyclone III系列FPGA芯片EP3C25F324C8为核心,采用高性能的立体音频Codec芯片TLV320实现音频处理,对输出具有可编程增益调节,然后在Quartus环境下采用FFT IP核完成离散信号的FFT处理,采用DC_FIFO对FFT变换后的数据进行缓存处理,实现与高时钟NIOS核的通讯,在IDE环境下通过C语言编程实现FIFO 和软核CPU的控制,最终在LABVIEW显示音频信号主要频率成分的信息,实现对音频信号的分析和显示。 关键词:音频分析 FFT FPGA NIOS软核 FIFO

目录 音频信号分析仪设计实践报告 (1) 摘要 (1) 一、设计任务及要求 (3) 1)任务 (3) 2)要求 (3) 二、系统设计方案 (3) 2.1 设计方案的选择 (3) 2.2 总体设计思路 (4) 三、模块电路与程序设计 (5) 3.1 TLV320控制电路 (5) 3.2 FFT控制电路 (5) 3.3 FIFO控制电路 (7) 3.4 NIOS软核 (8) 3.5 LABVIEW显示 (8) 3.6 程序说明 (8) 四. 测试方案与测试结果 (9) 4.1 测试方案 (9) 4.2 测试结果 (9) 五.遇到问题及解决办法 (10) 六. 组员分工.................................................................................................. 错误!未定义书签。 七. 总结与感想.............................................................................................. 错误!未定义书签。八.参考文献 (12) 附录 ................................................................................................................ 错误!未定义书签。

Adobe-Audition-系列教程(二):频谱分析仪

AdobeAudition系列教程(二):频谱分析仪 频谱分析仪是研究信号频谱特征的仪器,在电子技术一日千里的今天,是研究、开发、调试维修中的有力武器。现代频谱分析仪都趋向于智能化,虚拟仪器技术广泛应用,有些就是以专用的计算机系统为核心设计的。其结果是结构大大简化、性能飞速提高。当然专业的频谱分析仪就比示波器更加昂贵了,业余爱好者更难用上。不过不必灰心,我们可以充分利用AdobeAudition的频谱分析功能,让你拥有精确频谱分析仪的美梦成真! 1. 频谱显示模式 AdobeAudition本身有一种“频谱显示”模式。先打开一段波形,或用《妙用Adobe Audition:数字存储示波器》一文介绍的方法录制一段波形,即可进行频谱分析。这里我们新建一段20秒的对数扫频信号(本文大多选用直接建立的波形,以便了解信号原始波形的标准频谱特征),然后选择“View=>Spe ctral View”(视图=>频谱),如图1,或点击快捷工具栏的“Toggle between Spectral and Waveform views”(切换频谱视图/波形视图)按扭,即可将波形以频谱显示的方式显示出来,如图2。扫频的频谱显示见图3。 图1

图2 图3 可以看到,横轴为时间,纵轴为频率指示。每个时刻对应的波形频谱都被显示出来了,可以看到扫描速度是指数增加的,即将频率轴取对数时扫描速度是线性的。如图中光标处18秒处频谱指示约11KHz。实际上频谱指示的颜色是代表频谱能量的高低的,颜色从深蓝到红再到黄,指示谱线电平由低到高的变化。这实际上跟地图的地形鸟瞰显示是比较相似的,看图4频谱复杂变化的声音频谱就更容易理解这点了。

音频信号分析仪设计报告

音频信号分析仪设计报告 1.摘要: 设计一个可对音频信号进行分析,并在LCD上显示其频率分量及功率的电路,电路还可对输入的失真信号进行失真度测量。电路主要由扫描滤波网络,检波采集网络,以及失真度测量网络构成。扫描滤波部分主要由MAX264开关电容滤波器电路和基于DDS扫描控制信号产生电路组成,完成对各个频率分量的提取;检波部分主要由有效值转换电路完成对频率分量功率的测量;失真度测量部分可自动跟踪输入信号的基频,通过谐波检测的方法,实现对失真度的测量,并可借助单片机测量其频率。整个测量电路结构简单,可较好完成对音频信号的各项分析。 关键字:MAX264 AD9851 音频功率检测失真度 2.总体方案设计 2.1方案一 动态信号分析法,即对信号进行时域采集,然后进行fourier变换,转换成频域信号。特点是较快,有较高的分辩率和采样速率。但受采样定理限制,无法推广到高频,且对采集网络要求较高,一般的单片机无法完成信号的频域变换算法。 2.2方案二 并行滤波法,通过一组滤波器网络,且每个滤波器都有自己的检波器,其通频带应尽量窄,数目应应该有足够的密度概括整个测量频带。优点是可实时显示和分析各个信号的频率分布及大小,缺点是其频率分量的个数取决于滤波器数目,当测量带宽增大,所需滤波器数目巨大。 2.3方案三 外差法,采用超外差接收机的方式,利用混频器、中频放大器、中频滤波器、检波器等构成频谱分析电路。其优点是工作频率范围宽、选择性好、灵敏度高。但是由于本振是连续可调谐的,被分析的频谱是依次顺序取样,因此扫频外差式不能实时地检测和显示信号的频谱。 2.4方案四 扫描滤波法,其采用中心频率可调的滤波器。被测信号首先加至可调谐窄带滤波器,其中心频率自动反复在信号频率范围内扫描。扫描滤波式频谱分析电路的优点是结构简单,价格低廉。由于没有混频电路,省去了抑制假信号的问题。我们选择这种方案,用DDS控制滤波器中心频率从而实现对不同频率分量的的提取并且利用滤波网络还可以实现失真度测量。(系统框图如下)

音频频谱分析仪设计

信号处理实验 实验八:音频频谱分析仪设计与实现

一、实验名称:音频频谱分析仪设计与实现 二、实验原理: MATLAB是一个数据信息和处理功能十分强大的工程实用软件,其数据采集工具箱为实现数据的输入和输出提供了十分方便的函数和命令。本实验可以用MATLAB进行音频信号频谱分析仪的设计与实现。 1、信号频率、幅值和相位估计 (1)频率(周期)检测 对周期信号来说,可以用时域波形分析来确定信号的周期,也就是计算相邻的两个信号波峰的时间差、或过零点的时间差。这里采用过零点(ti)的时间差T(周期)。频率即为f = 1/T,由于能够求得多个T值(ti有多个),故采用它们的平均值作为周期的估计值。 (2)幅值检测 在一个周期内,求出信号最大值ymax与最小值ymin的差的一半,即A = (ymax - ymin)/2,同样,也会求出多个A值,但第1个A值对应的ymax和ymin不是在一个周期内搜索得到的,故以除第1个以外的A值的平均作为幅值的估计值。 (3)相位检测 采用过零法,即通过判断与同频零相位信号过零点时刻,计算其时间差,然后换成相应的相位差。φ=2π(1-ti/T),{x}表示x的小数部分,同样,以φ的平均值作为相位的估计值。 频率、幅值和相位估计的流程如图所示。

其中tin表示第n个过零点,yi为第i个采样点的值,Fs为采样频率。 2、数字信号统计量估计 (1) 峰值P的估计 在样本数据x中找出最大值与最小值,其差值为双峰值,双峰值的一半即为峰值。P=0.5[max(yi)-min(yi)] (2)均值估计 式中,N为样本容量,下同。 (3) 均方值估计

用51单片机实现电脑音频信号的频谱显示(在LCD上显示)

如何用51单片机实现音频信号的频谱显示(在LCD上显示) 思路:外来音频信号经过51单片机,在单片机中进行频谱分析,并将结果显示在LCD(12864或1602)上 要求:频谱显示如同千千静听播放音乐时的频谱显示 希望各位高手能给出详细的解决方案,感激。。。。。。 51做FFT有些困难,可以使用增强型(RAM)的51机子进行 参考程序: #include #define uchar unsigned char #define uint unsigned int #define channel 0x01 //设置AD通道为 P1.1 //--------------------------------------------------------------------- sbit SDA_R=P1^2; sbit SDA_R_TOP=P1^3; sbit SDA_G=P1^4; sbit SDA_G_TOP=P1^5; sbit STCP=P1^6; sbit SHCP=P1^7; //--------------------------------------------------------------------- //---------------------------------------------------------------------------------------------------------------------- //放大128倍后的sin整数表(128) code char SIN_TAB[128] = { 0, 6, 12, 18, 24, 30, 36, 42, 48, 54, 59, 65, 70, 75, 80, 85, 89, 94, 98, 102, 105, 108, 112, 114, 117, 119, 121, 123, 124, 125, 126, 126, 126, 126, 126, 125, 124, 123, 121, 119, 117, 114, 112, 108, 105, 102, 98, 94, 89, 85, 80, 75, 70, 65, 59, 54, 48, 42, 36, 30, 24, 18, 12, 6, 0, -6, -12, -18, -24, -30, -36, -42, -48, -54, -59, -65, -70, -75, -80, -85, -89, -94, -98, -102, -105, -108, -112, -114, -117, -119, -121,

简易频谱分析仪

简易频谱分析仪[ 2005年电子大赛二等奖] 摘要:本设计以凌阳16位单片机SPCE061A为核心控制器件,配合Xilinx Virtex-II FPGA及Xilinx公司提供的硬件DSP高级设计工具System Generator,制作完成本数字式外差频谱分析仪。前端利用高性能A/D对被测信号进行采集,利用FPGA高速、并行的处理特点,在FPGA内部完成数字混频,数字滤波等DSP 算法。 SPCE061A单片机是整个设计的核心控制器件,根据从键盘接受的数据控制整个系统的工作流程,包括控制FPGA工作以及控制双路D/A在模拟示波器屏幕上描绘频谱图。人机接口使用128×64液晶和4×4键盘。本系统运行稳定,功能齐全,人机界面友好。 关键字:SPCE061A 简易频谱分析仪 一、方案论证 频谱分析仪是在频域上观察电信号特征,并在显示仪器上显示当前信号频谱图的仪器。从实现方式上可分为模拟式与数字式两类方案,下面对两种方案进行比较: 方案一:模拟式频谱分析仪 模拟方式的频谱仪以模拟滤波器为基础,通常有并行滤波法、顺序滤波法,可调滤波法、扫描外差法等实现方法,现在广泛应用的模拟频谱分析仪设计方案多为扫描外差法,此方案原理框图如图1.1:

图 1.1 模拟外差式频谱仪原理框图 图中的扫频振荡器是仪器内部的振荡源,当扫频振荡器的频率在一定范围内扫动时,输入信号中的各个频率分量在混频器中产生差频信号 (),依次落入窄带滤波器的通带内(这个通带是固定的),获得中频增益,经检波后加到Y放大器,使亮点在屏幕上的垂直偏移正比于该频率分量的幅值。由于扫描电压在调制振荡器的同时,又驱动X放大器,从而可以在屏幕上显示出被测信号的线状频谱图。这是目前常用模拟外差式频谱仪的基本原理。模拟外差式频谱仪具有高带宽和高频率分辨率等优点,但是模拟器件调试复杂,短期实现有难度,尤其是在对频谱信息的存储和分析上,逊色于新兴的数字化频谱仪方案。 方案二:数字式频谱分析仪 数字式频谱仪通常使用高速A/D采集当前信号,然后送入处理器处理,最后将得到的各频率分量幅度值数据送入显示器显示,其组成框图如图1.2: 图 1.2 数字式频谱仪组成框图

基于MATLAB的频谱分析仪设计

基于MATLAB的信号频谱分析仪的实现 一、概述 信号处理几乎涉及到所有的工程技术领域,而频谱分析又是信号处理中一个非常重要的分析手段。一般的频谱分析都依靠传统频谱分析仪来完成,价格昂贵,体积庞大,不便于工程技术人员的携带。虚拟频谱分析仪改变了原有频谱分析仪的整体设计思路,用软件代替了硬件,使工程技术人员可以用一部笔记本电脑到现场就可轻松完成信号的采集、处理及频谱分析。 在工程领域中,MA TLAB是一种倍受程序开发人员青睐的语言,对于一些需要做大量数据运算处理的复杂应用以及某些复杂的频谱分析算法MA TLAB显得游刃有余。本文将重点介绍虚拟频谱分析仪、MA TLAB软件及对正弦信号的频谱分析。 1.1虚拟频谱分析仪的功能包括: (1) 音频信号信号输入。输入的途径包括从声卡输入、从WAV文件输入、从信号发生器输入; (2) 信号波形分析。包括幅值、频率、周期、相位的估计,并计算统计量的峰值、均值、均方值和方差等信息; (3) 信号频谱分析。频率、周期的估计,图形显示幅值谱、相位谱和功率谱等信息的曲线。 2.1MA TLAB软件

二、实验原理 2.1快速傅立叶变换(FFT) 在各种信号序列中,有限长序列占重要地位。对有限长序列可以利用离散傅立叶变换(DFT)进行分析。DFT不但可以很好的反映序列的频谱特性,而且易于用快速算法(FFT)在计算机上进行分析。 有限长序列的DFT是其z变换在单位圆上的等距离采样,或者说是序列傅立叶的等距离采样,因此可以用于序列的谱分析。FFT是DFT 的一种快速算法,它是对变换式进行一次次分解,使其成为若干小数据点的组合,从而减少运算量。 MATLAB为计算数据的离散快速傅立叶变换,提供了一系列丰富的数学函数,主要有Fft、Ifft、Fft2 、Ifft2, Fftn、ifftn和Fftshift、Ifftshift等。当所处理的数据的长度为2的幂次时,采用基-2算法进行计算,计算速度会显著增加。所以,要尽可能使所要处理的数据长度为2的幂次或者用添零的方式来添补数据使之成为2的幂次。 Fft函数调用方式:○1Y=fft(X); ○2Y=fft(X,N); ○3Y=fft(X,[],dim)或Y=fft(X,N,dim)。 函数Ifft的参数应用与函数Fft完全相同。 2.2周期图法功率谱分析原理 周期图法是把随机数列x(n)的N个观测数据视为能量有限的序列,直接计算x(n)的傅立叶变换,得X(k),然后再取幅值的平

数字频谱分析仪设计论文

本科生毕业论设计 论文题目:数字频谱分析仪 姓名: 学号: 班级: 年级: 专业: 学院:机械与电子工程学院 指导教师: 完成时间:

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

相关文档
相关文档 最新文档