文档库 最新最全的文档下载
当前位置:文档库 › 电子技术实验

电子技术实验

电子技术实验
电子技术实验

半导体器件的测试实验

实验组号__ __学号姓名

实验日期成绩____ ___指导教师签名

一、实验目的

学会用万用表测试二极管、三极管的性能好坏,管脚排列。

二、实验器材

1.万用表1只(指针式)。

2.二极管、三极管若干。

三、注意事项:

1.选择合适的量程,使万用表指针落在万用表刻度盘中间的位置为佳。

2.测试电阻前应先调零。

3.测量时不要同时用手接触元件的两个引脚。

4.测量完毕时应将万用表的转换开关转向off位置或交流最高电压档。

5.不能用万用表测试工作中的元件电阻!

四、实验内容

1.半导体二极管的测试

◆半导体二极管的测试要点:

用指针式万用表测二极管的正反向电阻,当测得阻值较小的情况下,黑笔所接的极是二极管的正极。

(1)整流二极管的测试

将万用表置于R?100Ω或R?1kΩ电阻档并调零,测量二极管的正、反向电阻,判断其极性和性能好坏,把测量结果填入表1中。

(2

将万用表置于R?10kΩ电阻档并调零,测量二极管的正、反向电阻,判断其极性和性能好坏,把测量结果填入表2中。

2.半导体三极管的测试

◆半导体三极管的测试要点:

将万用表置于R?100Ω或R?1kΩ电阻档并调零。

①首先判基极和管型

?黑笔固定某一极,红笔分别测另两极,当测得两个阻值均较小时,黑笔所接的极是基

?红笔固定某一极,黑笔分别测另两极,当测得两个阻值均较小时,红笔所接的极是基极,所测的晶体管是PNP管。

②其次判集电极和发射极

?对于NPN管:用手捏住基极和假设的集电极(两极不能短接),黑笔接假设的集电极,红笔接假设的发射极,观察所测电阻的大小。然后将刚才假设的集电极和发射极对调位置,再重测一次,当测得电阻值较小时,黑笔所接的是集电极,另一电级是发射极?对于PNP管:用手捏住基极和假设的集电极(两极不能短接),红笔接假设的集电极,黑笔接假设的发射极,观察所测电阻的大小。然后将刚才假设的集电极和发射极对调位置,再重测一次,当测得电阻值较小时,红笔所接的是集电极,另一电级是发射极。(1)将万用表置于R?100Ω或R?1kΩ电阻档并调零,判别三极管的引脚排列、管型和性能好坏,把测量结果填入表3中。

(2)将万用表置于h fe档(×10Ω档并调零),测量三极管的β值,把测量结果填入表4中。

五、实验分析

1.用万用表的R?100Ω或R?1kΩ电阻档测量同一只二极管的正反向电阻值时,测量值为什么不同?

2.为什么不能用R?1Ω或R?10kΩ电阻档测量小功率晶体管?

单管电压放大电路实验

实验组号__ __学号姓名

实验日期成绩____ ___指导教师签名

一、实验目的

1.学会放大电路静态工作点的测量与调试方法;

2.学会用示波器及交流毫伏表测量放大电路的电压放大倍数的方法;

3.观察静态工作点对放大电路输出波形的影响;

二、实验设备

直流稳压电源、低频信号发生器、示波器、万用表、毫伏表,实验线路板。

三、注意事项

1.示波器的辉度不要过亮;

2.调节仪器旋钮时,动作不要过快、过猛;

3.用示波器进行定量测量时,注意t/div和V/div的微调旋钮应处于“标准”位置;

4.为防止外界干扰,各种仪表的接地端要相连(共地)。

四、实验内容

1.连接电路

令输入电压ui为零。

①接通直流稳压电源,调节电阻R p,用直流电压表测U CE的值,使U CE=4~5V。

②用直流电压表测此时U C、U B、U E的值,填入表1中。

3.测量电压放大倍数

①在放大电路的输入端输入频率为1kHz的正弦波信号。

②逐渐增大输入信号的幅度,用示波器观察输入、输出信号的波形,在输出波形

最大不失真的情况下,用交流毫伏表测量不同负载电阻的输入电压ui和输出电

压u o的有效值,填入表2中。

4.观察输入和输出波形的相位关系

?在输出波形最大不失真的情况下,用双踪示波器测试输入、输出信号的波形,填入表3中。

5.观察静态工作点对输出波形的影响

①在输出波形最大不失真的情况下,用示波器测试输出信号的波形,填入表4中。

②把RP调至最大,用示波器测试输出信号的波形,填入表4中。

③把RP调至最小,用示波器测试输出信号的波形,填入表4中。

五、实验分析

1.负载电阻对放大倍数的影响。

的值为多大较合适?

2.放大电路要不失真放大,你认为静态工作点U

CE

3.如果放大电路的静态工作点合适,而输出波形产生双向失真,是什么原因?

三端集成稳压电源实验

实验组号__ __学号姓名

实验日期成绩____ ___指导教师签名

一、实验目的

1.观察并测试整流电路的波形和输出电压。

2.观察并测试整流滤波电路的波形和输出电压。

3.观察并测试三端集成稳压器构成的稳压电源的波形和输出电压。

4.测试三端集成稳压器构成的稳压电源的稳压性能指标。

二、实验设备

示波器、直流电压表、直流电流表、电子技术实验箱。

三、注意事项

?改接电路时,要切断电源;

?整流桥不能接错;

?集成稳压器的输入端与输出端不能反接,以防损坏集成稳压器;

?集成稳压器的输入端不能短路;公共端要可靠接地。

四、实验内容

1.整流电路的测试

按图(1)接线,用示波器观察u

2和u

A

的波形,并用直流电压表测试负载两端的电压U

A

将观察到的波形和测试数据记入表1中。

图(1)

2.整流滤波电路的测试

按图(2)接线,用示波器观察u 2和u B 的波形,并用直流电压表测试负载两端的电压U B ,将观察到的波形和测试数据记入表2中。

图(2)

3.(1)输出电压的测试

按图(3)接线,用示波器观察u 2,U I 和U O 的波形,用直流电压表测试负载两端的电压U O ,将观察到的波形和测试数据记入表3中。

图(3)

(2)稳压系数S 的测试

1)按图(3)接线,变压器的次级输出电压为14V ,用直流电压表测试U I 和U O 的电压,将测试数据记入表4中。

2)切断电源,将图(3)中的变压器改接为220V/10V 输出(模拟交流电网的波动),然后再接通电源,用直流电压表测试U I 和U O 的电压,将测试数据记入表4中,并根据所测数据计算稳压电路的稳压系数S 。

(3)稳压电源的输出电阻测试

1)按图(3)接线,用直流电流表测试负载的电流I

O

,将测试数据记入表5中。

2)切断电源,将图(3)中的负载改接为240Ω,然后再接通电源,用直流电流表测试

此时的负载电流I

O ,将测试数据记入表5中,并根据所测数据计算稳压电源的输出电阻R

O

五、实验分析

1.根据实验数据分析总结整流、滤波电路的特点。

2.根据实验数据分析总结稳压电路的作用。

3.分析实验中遇到的问题和解决办法。

逻辑门电路实验

实验组号__ __学号姓名

实验日期成绩____ ___指导教师签名

一、实验目的

1.学会逻辑门的逻辑功能测试;

2.学会与非门的参数测试。

二、实验设备

万用表,直流电压表,数字电路实验箱,74LS08,74LS32,74LS04,74LS00,74LS86芯片,导线若干。

芯片引脚图

三、注意事项:

1.接插芯片时,要认清定位标记,不得插反。

2.TTL门对电源电压的稳定性要求较严,只允许在+5V上下10%的波动。电源电

压超过+5.5V时,易使器件损坏;低于4.5V时,易导致器件的逻辑功能不正

常。电源极性绝对不允许接错。

3.TTL与非门不用的输入端允许悬空,但最好接高电平。

4.TTL门的输出端不允许直接接电源电压或地,也不能并联使用。

四、实验内容

1.门电路的功能测试

(1)与门的功能测试

按图(1)接线,输入端A、B分别接0、1逻辑开关,输出端Y接0、1LED显示器,给芯片接上5V的工作电源,改变输入的取值组合,测出相应的输出值,将测试数据记入表1中,分析与门的逻辑功能。

图(1)

(2)或门的功能测试

按图(2)接线,输入端A、B分别接0、1逻辑开关,输出端Y接0、1LED显示器,给芯片接上5V的工作电源,改变输入的取值组合,测出相应的输出值,将测试数据记入表2中,分析或门的逻辑功能。

A B Y

0 0

0 1

1 0

1 1

逻辑功能:

(3)非门的功能测试

按图(3)接线,输入端A接0、1逻辑开关,输出端Y接0、1LED显示器,给芯片接上5V的工作电源,改变输入的取值,测出相应的输出值,将测试数据记入表3中,分析非门的逻辑功能。

图(3)

输入输出

A Y

1

逻辑功能:

(4)与非门的功能测试

按图(4)接线,输入端A、B分别接0、1逻辑开关,输出端Y接0、1LED显示器,给芯片接上5V的工作电源,改变输入的取值组合,测出相应的输出值,将测试数据记入表4中,分析与非门的逻辑功能。

A B Y

0 0

0 1

1 0

1 1

逻辑功能:

(5)异或门的功能测试

按图(5)接线,输入端A、B分别接0、1逻辑开关,输出端Y接0、1LED显示器,给芯片接上5V的工作电源,改变输入的取值组合,测出相应的输出值,将测试数据记入表5中,分析与非门的逻辑功能。

图(5)

输入输出

A B Y

0 0

0 1

1 0

1 1

逻辑功能:

2.与非门的参数测试

(1)与非门的输出高、低电平测试

图(6)

1)按图(6)接线,调节Rw,使V1的读数为0.3V,测量此时的输出电压V2,将测量数据记入表6中;

2)调节Rw,使V1的读数为3.6V,测量此时的输出电压V2,将测量数据记入表6中。

五、实验分析

1.根据测试数据说明与非门的输出高、低电平是多少?

2.分析实验过程中出现的问题。

组合逻辑电路实验

实验组号__ __学号姓名

实验日期成绩____ ___指导教师签名

一、实验目的

3.学会逻辑门的逻辑功能测试;

4.学会组合逻辑电路的逻辑功能测试。

二、实验设备

数字电路实验箱,74LS00,74LS20,74LS86芯片,导线若干。

◆芯片引线图

三、注意事项:

?接插芯片时,要认清定位标记,不得插反。

?TTL门对电源电压的稳定性要求较严,只允许在+5V上下10%的波动。电源电压超过

+5.5V时,易使器件损坏;低于4.5V时,易导致器件的逻辑功能不正常。电源极性绝对不允许接错。

?TTL与非门不用的输入端允许悬空,但最好接高电平。

?TTL门的输出端不允许直接接电源电压或地,也不能并联使用。

四、实验内容

1.门电路的功能测试

(1)与非门的功能测试

按图(1)接线,输入端A、B分别接0、1逻辑开关,输出端Y接0、1LED显示器,给芯片接上5V的工作电源,改变输入的取值组合,测出相应的输出值,将测试数据记入表1中,分析与非门的逻辑功能。

图(1)

(2

按图(2)接线,输入端A、B分别接0、1逻辑开关,输出端Y接0、1LED显示器,给芯片接上5V的工作电源,改变输入的取值组合,测出相应的输出值,将测试数据记入

表2中,分析与非门的逻辑功能。

图(2)

2.

显示器,给芯片接上5V的工作电源,改变输入的取值组合,测出相应的输出值,将测试数据记入表3中,分析电路的逻辑功能。

图(3)

(2)按图(4Y接0、1LED 显示器,给芯片接上5V的工作电源,改变输入的取值组合,测出相应的输出值,将测试数据记入表4中,分析电路的逻辑功能。

图(4)

五、实验分析

1.与非门不用的输入端如何处理?

2.分析实验过程中出现的问题。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电子技术实验

半导体器件的测试实验 实验组号__ __学号姓名 实验日期成绩____ ___指导教师签名 一、实验目的 学会用万用表测试二极管、三极管的性能好坏,管脚排列。 二、实验器材 1.万用表1只(指针式)。 2.二极管、三极管若干。 三、注意事项: 1.选择合适的量程,使万用表指针落在万用表刻度盘中间的位置为佳。 2.测试电阻前应先调零。 3.测量时不要同时用手接触元件的两个引脚。 4.测量完毕时应将万用表的转换开关转向off位置或交流最高电压档。 5.不能用万用表测试工作中的元件电阻! 四、实验内容 1.半导体二极管的测试 ◆半导体二极管的测试要点: 用指针式万用表测二极管的正反向电阻,当测得阻值较小的情况下,黑笔所接的极是二极管的正极。 (1)整流二极管的测试 将万用表置于R?100Ω或R?1kΩ电阻档并调零,测量二极管的正、反向电阻,判断其极性和性能好坏,把测量结果填入表1中。 (2 将万用表置于R?10kΩ电阻档并调零,测量二极管的正、反向电阻,判断其极性和性能好坏,把测量结果填入表2中。 2.半导体三极管的测试 ◆半导体三极管的测试要点: 将万用表置于R?100Ω或R?1kΩ电阻档并调零。 ①首先判基极和管型 ?黑笔固定某一极,红笔分别测另两极,当测得两个阻值均较小时,黑笔所接的极是基

?红笔固定某一极,黑笔分别测另两极,当测得两个阻值均较小时,红笔所接的极是基极,所测的晶体管是PNP管。 ②其次判集电极和发射极 ?对于NPN管:用手捏住基极和假设的集电极(两极不能短接),黑笔接假设的集电极,红笔接假设的发射极,观察所测电阻的大小。然后将刚才假设的集电极和发射极对调位置,再重测一次,当测得电阻值较小时,黑笔所接的是集电极,另一电级是发射极?对于PNP管:用手捏住基极和假设的集电极(两极不能短接),红笔接假设的集电极,黑笔接假设的发射极,观察所测电阻的大小。然后将刚才假设的集电极和发射极对调位置,再重测一次,当测得电阻值较小时,红笔所接的是集电极,另一电级是发射极。(1)将万用表置于R?100Ω或R?1kΩ电阻档并调零,判别三极管的引脚排列、管型和性能好坏,把测量结果填入表3中。 (2)将万用表置于h fe档(×10Ω档并调零),测量三极管的β值,把测量结果填入表4中。 五、实验分析 1.用万用表的R?100Ω或R?1kΩ电阻档测量同一只二极管的正反向电阻值时,测量值为什么不同? 2.为什么不能用R?1Ω或R?10kΩ电阻档测量小功率晶体管?

电子技术实验指导书

实验一常用电子仪器的使用方法 一、实验目的 了解示波器、音频信号发生器、交流数字毫伏表、直流稳压电源、数字万用电表的使用方法。二实验学时 2 学时 三、实验仪器及实验设备 1、GOS-620 系列示波器 2、YDS996A函数信号发生器 3、数字交流毫伏表 4、直流稳压电源 5、数字万用电表 四、实验仪器简介 1、示波器 阴极射线示波器(简称示波器)是利用阴极射线示波管将电信号转换成肉眼能直接观察的随时间变化的图像的电子仪器。示波器通常由垂直系统、水平系统和示波管电路等部分组成。垂直系统将被测信号放大后送到示波管的垂直偏转板,使光点在垂直方向上随被测信号的幅度变化而移动;水平系统用作产生时基信号的锯齿波,经水平放大器放大后送至示波管水平偏转板,使光点沿水平方向匀速移动。这样就能在示波管上显示被测信号的波形。 2、YDS996A函数信号发生器通常也叫信号发生器。它通常是指频率从0.6Hz至1MHz的正弦波、方波、三角波、脉冲波、锯齿波,具有直流电平调节、占空比调节,其频率可以数字直接显示。适用于音频、机械、化工、电工、电子、医学、土木建筑等各个领域的科研单位、工厂、学校、实验室等。 3、交流数字毫伏表 该表适用于测量正弦波电压的有效值。它的电路结构一般包括放大器、衰减器(分压器)、检波器、指示器(表头)及电源等几个部分。该表的优点是输入阻抗高、量程广、频率范围宽、过载能力强等。该表可用来对无线电接收机、放大器和其它电子设备的电路进行测量。 4、直流稳压电源: 它是一种通用电源设备。它为各种电子设备提供所需要的稳定的直流电压或电流当电网电压、负载、环境等在一定范围内变化时,稳压电源输出的电压或电流维持相对稳定。这样可以使电子设备或电路的性能稳定不变。直流电源通常由变压、整流、滤波、调整控制四部分组成。有些电源还具有过压、过流等保护电路,以防止工作失常时损坏器件。 6、计频器 GFC-8010H是一台高输入灵敏度20mVrms,测量范围0.1Hz至120MHz的综合计频器,具备简洁、高性能、高分辨率和高稳定性的特点。 5、仪器与实验电路的相互关系及主要用途:

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

电子技术实验指导..

电子技术实验指导 电子技术实验,实验仪器与被测电路的基本连接方法,如图1所示。 实验1 共发射极单级放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图1-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路由B1R 和B2R 分压电路组成,发射极接有电阻E R ,以稳定放大器的静态工作点。当放大器的输入端加入输入信号i u 后,在放大器的输出端便可得到一个与i u 相位相反、幅值被放大了的输出信号o u ,从而实现电压放大。 图1 测量模拟电子电路常用电子仪器的接法

在图1-1电路中,当流过偏置电阻B1R 和B2R 的电流远大于晶体管T 的基极电流B I 时(一般大5~10倍),它的静态工作点可用下式估算。 2 12 B B C C B B R U U R R ≈+, B B E C E U U I R -≈, C B I I β=,)(E C C CC CE R R I U U +-= 放大器的动态参数,电压放大倍数为 1 )1(//E be L C V R r R R A ββ ++-= 输入电阻为 121//[(1)]i B B be E R R R r R β=//++ 输出电阻为 C o R R ≈ 由于电子器件性能的分散性比较大,因此在设计和制作晶体管放大电路时,离不开测量和调试技术。在设计前应测量所有元器件的参数,为电路设计提供必要的依据,在完成设计和配装以后,还必须测量和调试放大器的静态工作点和各项性能指标。一个优质的放大器,必须是理论设计与实验调整相结合的产物。因此,除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量与调试技术。 放大器的测量和调试包括:放大器静态工作点的测量与调试和放大器动态参数的测量与调试等。 1、放大器静态工作点的测量与调试 (1)静态工作点的测量:测量放大器的静态工作点,应在输入信号0=i u 的情况下进行。将放大器输入端与地端短接,用直流电压表分别测量晶体管各电极对地的电位B U 、C U 和E U 。然后算出 C I ≈E I =E U /E R ;BE U =B U —E U ,CE U =C U —E U 。为了减少误差,提高测量精度,应选用内阻 较高的直流电压表。 (2)静态工作点的调试:是指对管子集电流C I (或CE U )的调整与测试。 静态工作点是否合适,对放大器的性能和输出波形都有很大影响。以NPN 型三极管为例,如果工作点偏高,放大器易产生饱和失真,此时o u 的负半周被缩底,如图1-2a 所示。如果工作点偏低则易产生截止失真,即o u 的正半周被缩顶,如图1-2b 所示。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端加入一定的i u ,检查输出电压o u 的大小和波形是否满足要求。如果不满足,则应调节静态工作点。 改变电路参数CC U 、C R 、B R (1B R 、2B R )都会引起静态工作点的变化,通常采用调节偏置电阻2B R 的方法来改变静态工作点,如减小2B R ,可使静态工作点提高。 最后还要说明的是:工作点“偏高”或“偏低”不是 绝对的,是相对信号的幅度而言,如果信号幅度很小,即使工作点较高或较低也不一定会出现失真。所以确切的说,产生波形失真是信号幅度与静态工作点设置配合不当所致。如需满足较大信号幅度的要求,静态工作点最好靠近交流负载的中点。 (a)截止失真 (b)饱和失真 图1-2 静态工作点对o u 的影响

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

现代电子技术综合实验报告 熊万安

电子科技大学通信与信息工程学院实验报告 实验名称现代电子技术综合实验 姓名: 学号: 评分: 教师签字 电子科技大学教务处制

电子科技大学 实验报告 学生姓名:学号:指导教师:熊万安 实验地点:科A333 实验时间:2016.3.7-2016.3.17 一、实验室名称:电子技术综合实验室 二、实验项目名称:电子技术综合实验 三、实验学时:32 四、实验目的与任务: 1、熟悉系统设计与实现原理 2、掌握KEIL C51的基本使用方法 3、熟悉SMART SOPC实验箱的应用 4、连接电路,编程调试,实现各部分的功能 5、完成系统软件的编写与调试 五、实验器材 1、PC机一台 2、SMART SOPC实验箱一套 六、实验原理、步骤及内容 试验要求: 1. 数码管第1、2位显示“1-”,第3、4位显示秒表程序:从8.0秒到1.0秒不断循环倒计时变化;同时,每秒钟,蜂鸣器对应发出0.3秒的声音加0.7秒的暂停,对应第8秒到第1秒,声音分别为“多(高

音1)西(7)拉(6)索(5)发(4)米(3)莱(2)朵(中音1)”;数码管第5位显示“-”号,数码管第6、7、8位显示温度值,其中第6、7位显示温度的两位整数,第8位显示1位小数。按按键转到任务2。 2. 停止声音和温度。数码管第1、2位显示“2-”,第3、4位显示学号的最后2位,第5位显示“-”号,第6到第8位显示ADC电压三位数值,按按鍵Key后转到任务3,同时蜂鸣器发出中音2的声音0.3秒; 3. 数码管第1、2位显示“3-”,第3、4位显示秒表程序:从8.0秒到1.0秒不断循环倒计时变化;调节电压值,当其从0变为最大的过程中,8个发光二极管也从最暗(或熄灭)变为最亮,当电压值为最大时,秒表暂停;当电压值为最小时,秒表回到初始值8.0;当电压值是其他值时,数码管又回到第3、4位显示从8.0秒到1.0秒的循环倒计时秒表状态。按按鍵Key回到任务1,同时蜂鸣器发出中音5的声音0.3秒。

#电力电子技术实验一、二、三

实验一锯齿波同步触发电路实验 一、实验目的 1、加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2、掌握锯齿波同步移相触发电路的调试方法。 二、实验主要仪器与设备: 三、实验原理 锯齿波同步移相触发电路的原理图如图1-1所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见电力电子技术教材中的相关内容。 图1-1 锯齿波同步移相触发电路原理图 图1-1中,由V3、VD1、VD2、C1等元件组成同步检测环节,其作用是利用同步电压U T来控制锯齿波产生的时刻及锯齿波的宽度。由V1、V2等元件组成的恒流源电路,当V3截止时,恒流源对C2充电形成锯齿波;当V3导通时,电容C2通过R4、V3放电。调节电位器RP1可以调节恒流源的电流大小,从而改变了锯齿波的斜率。控制电压U ct、偏移电压U b 和锯齿波电压在V5基极综合叠加,从而构成移相控制环节,RP2、RP3分别调节控制电压U ct和偏移电压U b的大小。V6、V7构成脉冲形成放大环节,C5为强触发电容改善脉冲的前

沿,由脉冲变压器输出触发脉冲,电路的各点电压波形如图1-2所示。 本装置有两路锯齿波同步移相触发电路,I和II,在电路上完全一样,只是锯齿波触发电路II输出的触发脉冲相位与I恰好互差180°,供单相整流及逆变实验用。 电位器RP1、RP2、RP3均已安装在挂箱的面板上,同步变压器副边已在挂箱内部接好,所有的测试信号都在面板上引出。 图1-2 锯齿波同步移相触发电路各点电压波形(α=90°) 四、实验内容及步骤

1、实验内容: (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 2、实验步骤: (1) 将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V±10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压信号和“6”点U6的波形,调节偏移电压U b(即调RP3电位器),使α=170°,其波形如图1-3所示。 图1-3锯齿波同步移相触发电路 (3)调节U ct(即电位器RP2)使α=60°,观察并记录U1~U6及输出“G、K”脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。

#电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

中山大学电子技术综合实验论

激光图案控制电路焊接与调试 陈XX 微电子学 摘要:本课程要求分析激光控制系统的工作原理,焊接散装元件来组装激光控制器并调试。在这个过程中掌握电路的焊接与调试方法。 关键字:激光图案控制电路调试 通激光图案控制电路的焊接和调试实验,了解了激光控制电路的原理,培养了综合分析和设计电路的能力,焊接调试电路的能力。锻炼了动手能力,切实提高实验技能,增强了对微电子专业的兴趣。 1.实验内容 1.1实验目的 本课程通过焊接散装元件来组装收音机与激光控制器并调试。在这个过程中需要分析收音机与激光控制系统的工作原理,并掌握调试电路的方法。 在本课程中需学会认识元件,检测元件,使用万用表、电烙铁等工具的方法。掌握一定的焊接技巧。 1.2实验仪器及元件 变压器,万用表,万用版,整流桥,7812稳压管,555多谐振荡器,4017脉冲分配器,4013,麦克风,滑动变阻器,集成运放,继电器,各种阻值的电阻,二极管,发光二极管,电容,三极管,插座,导线等。 1.3电路原理 1.3.1激光控制器电路原理 (1)激光图案变化原理 激光器上有两个直流电机。每个电机上带有一个反射镜,当电机转动时,反射镜跟着转动,由于镜面不平,反射镜在转动时也出现微小的震动,因而射在镜面上的激光的入射角也出现微小波动,导致反射光不再是一条笔直不动的光线,而是有规律地摆动;这条有规律摆动的光射在第二个电机上,又会出现与第一个电机类似的情况,导致最终反射出的激光按照某种规律摆动,形成固定的图案。当任一个电机转速发生变化时,光线摆动的规律就会变化,导致图案发生变化。 该控制器总利用电压变化控制两个直流电机电机,不同的电压驱动电机产生不同的转速。两个电机的驱动电压大小由控制器决定。该控制器一共能产生8组图案。有两种工作模式使图案变化,一种是自动的,即隔一定时间图案自动变化,另一种模式是声控,即控制器接收到较大的声音时,图案发生变化。 (2)原理图

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

电子技术实验指导书

电子技术实验指导书机电工程学院电气工程系2012年2月 实验一电子仪器使用及常用元件的识别与测试 一、实验目的 1.掌握常用电子仪器的基本功能并学习其正确使用方法。 2.学习掌握用双踪示波器观察和测量波形的幅值、频率及相位的方法。 3.掌握常用元器件的识别与简单测试方法。 二、仪器设备1.万用表 2.信号发生器3.晶体管毫伏表 4.示波器 三、实验内容 1.用万用表测量电压、电流、电阻等元器件,并判断二极管和三极管的好坏。 2.用信号发生器调出不同大小的正弦波,并用晶体管毫伏表测量。 3.用信号发生器调出不同波形,用示波器进行观察测量。 四、实验步骤 1. 将万用表旋钮调至相应的功能和适当的挡位,分别测量试验台上给出的电源电压、单独给出的电阻等元器件,特别注意安全以及万用表的相应挡位。 2. 用万用表判断二极管的好坏和极性。将万用表放在×10的电阻挡,测量一个二极管的电阻并记录下来,然后交换万用表的两只表笔,再次测量它的电阻并记录下来,根据两次测量的结果判断二极管的好坏。如果两次测得的电阻值都很大,说明二极管内部已经断路,如果两次测得的电阻值都很小,说明二极管内部已经短路,只有两次测得的电阻值相差很大,才说明二极管是好的。如果是指针型万用表,测得电阻比较小的那次二极管是正向导通的,通常此时黑色表笔所接的是二极管的正极,其他万用表要先确定其测量电阻时内部电源的极性。 3. 用万用表判断三极管的好坏。三极管可以等效为两个串接的二极管,见下图a。先按测量二极管的方法确定两个PN结的好坏,如果是好的则可进一步确定三极管的基极,由此也可确定三极管的类型(PNP、NPN)。指针式万用表判断三极管的发射极和集电极是利用了三极管的电流放大特性,测试原理见图b,如被测三极管是NPN型管,先设一个极为集电极,与万用表的黑表笔相连接,用红表笔接另一个电极,观察好指针的偏转大小。然后用人体电阻代替图b中的R B,用手指捏住C和B极,C和B不要碰在一起,再观察指针的偏转大小,若此时偏转角度比第一次大,说明假设正确。若区别不大,需再重新假设。PNP型管的判别方法与NPN型管相同但极性相反。 4. 打开信号发生器,熟悉各旋钮的作用,然后调出一个1~10V,10多千赫兹的正弦交流电,分别用万用表

《电子技术实验1》实验指导书

实验一仪器使用 一、实验目的 1.明确函数信号发生器、直流稳压稳流电源和交流电压表的用途。 2.明确上述仪器面板上各旋钮的作用,学会正确的使用方法。 3.学习用示波器观察交流信号波形和测量电压、周期的方法。 二、实验仪器 8112C函数信号发生器一台 DF1731SC2A可调式直流稳压稳流电源一台 DF2170B交流电压表一台 双踪示波器一台 三、实验内容 1.调节8112C函数信号发生器输出1KHZ、100mV的正弦波信号,将操

2.将信号发生器输出的信号接入交流电压表测量,配合调节函数信号发生器的“MAPLITUDE POWER”旋钮,使其输出为100mV。 3.将上述信号接入双踪示波器测量其信号电压的峰峰值和周期值,并将操作方法填入下表。

四、实验总结 1、整理实验记录、分析实验结果及存在问题等。 五、预习要求 1.对照附录的示意图和说明,熟悉仪器各旋钮的作用。 2.写出下列预习思考题答案: (1)当用示波器进行定量测量时,时基扫描微调旋钮和垂直微调旋钮应处在什么位置?

(2)某一正弦波,其峰峰值在示波器屏幕上占垂直刻度为5格,一个周期占水平刻度为2格,垂直灵敏度选择旋钮置0.2V/div档,时基扫速选择旋钮置0.1mS/div档,探头衰减用×1,问被测信号的有效值和频率为多少?如何用器其他仪器进行验证?

附录一:8112C函数信号发生器 1.用途 (1)输出基本信号为正弦波、方波、三角波、脉冲波、锯齿波。输出幅值从5mv~20v,频率范围从0.1HZ~2MHZ。 (2)作为频率计数器使用,测频范围从10HZ~50MHZ,最大允许输入为30Vrms。 2.面板说明

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

电子电工综合实验报告

电工电子综合试验——数字计时器实验报告 学号: 姓名: 学院: 专业:通信工程

目录 一,实验目的及要求 二,设计容简介 四,电路工作原理简述 三,设计电路总体原理框图五,各单元电路原理及逻辑设计 1. 脉冲发生电路 2. 计时电路和显示电路 3. 报时电路 4. 较分电路 六引脚图及真值表

七收获体会及建议 八设计参考资料 一,实验目的及要求 1,掌握常见集成电路实现单元电路的设计过程。 2,了解各单元再次组合新单元的方法。 3,应用所学知识设计可以实现00’00”—59’59”的可整点报时的数字计时器 二,设计容简介: 1,设计实现信号源的单元电路。( KHz F Hz F Hz F Hz F1 4 , 500 3 , 2 2 , 1 1≈ ≈ ≈ ≈ ) 2,设计实现00’00”—59’59”计时器单元电路。 3,设计实现快速校分单元电路。含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。4,加入任意时刻复位单元电路(开关K2)。 5,设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。 三,设计电路总体原理框图 设计框图: 四,电路工作原理简述 电路由振荡器电路、分频器、计数器、译码器、显示器、校时电路和报时电路组成。振荡器产生的脉冲信号经过十二级分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间,将分秒计时器分开,加入快速校分电路与防抖动电路,并控制秒计

时器停止工作。较分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,在60进制控制上加入任意时刻复位电路。报时电路通过1kHz或2kHz的信号和要报时的时间信号进行“与”的运算来实现的顶点报时的,通过两个不同频率的脉冲信号使得在不同的时间发出不同的声响。 五,各单元电路原理及逻辑设计 (1)脉冲发生电路 脉冲信号发生电路是危机时期提供技术脉冲,此次实验要求产生1HZ的脉冲信号。用NE555集成电路和CD4040构成。555定时器用来构成多谐振荡器,CD4040产生几种频率为后面电路使用。 实验电路如下(自激多谐振荡电路,周期矩形波发生电路) 震荡周期T=0.695(R1+2*R2)C,其中R1=1KΩ,R2=3KΩ,C=0.047uf,计算T=228.67*10-6 s ,f=4373.4Hz产生的脉冲频率为4KHz,脉冲信号发生电路 和CD4040连接成如图所示的电路,则从Q12输出端可以得到212分频信号F1,即1Hz的信号,Q11可以得到F2即2Hz的信号提供给D触发器CP和校分信号,Q3输出分频信号500Hz,Q2输出1KHz提供给报时电路 二,秒计时电路 应用CD4518及74LS00可以设计该电路,CD4518是异步清零,所以在进行分和秒十位计数的时候,需要进行清零,而在个位计数的时候不需要清零。所以Cr2=2QcQb,Cr4=4Qc4QB。当秒个位为1001时,秒十位要实现进位,此时需要EN2=1Qd,同理分的个位时钟EN3=2Qc,分十位时钟端EN4=3Qd。因此,六十进制计数器逻辑电路如下图所示

电工和电子技术(A)1实验报告

实验一 电位、电压的测定及基尔霍夫定律 1.1电位、电压的测定及电路电位图的绘制 一、实验目的 1.验证电路中电位的相对性、电压的绝对性 2. 掌握电路电位图的绘制方法 三、实验内容 利用DVCC-03实验挂箱上的“基尔霍夫定律/叠加原理”实验电路板,按图1-1接线。 1. 分别将两路直流稳压电源接入电路,令 U 1=6V ,U 2=12V 。(先调准输出电压值,再接入实验线路中。) 2. 以图1-1中的A 点作为电位的参考点,分别测量B 、C 、D 、E 、F 各点的电位值φ及相邻两点之间的电压值U AB 、U BC 、U CD 、U DE 、U EF 及U FA ,数据列于表中。 3. 以D 点作为参考点,重复实验内容2的测量,测得数据列于表中。 图 1-1

四、思考题 若以F点为参考电位点,实验测得各点的电位值;现令E点作为参考电位点,试问此时各点的电位值应有何变化? 答: 五、实验报告 1.根据实验数据,绘制两个电位图形,并对照观察各对应两点间的电压情况。两个电位图的参考点不同,但各点的相对顺序应一致,以便对照。 答: 2. 完成数据表格中的计算,对误差作必要的分析。 答: 3. 总结电位相对性和电压绝对性的结论。 答:

1.2基尔霍夫定律的验证 一、实验目的 1. 验证基尔霍夫定律的正确性,加深对基尔霍夫定律的理解。 2. 学会用电流插头、插座测量各支路电流。 二、实验内容 实验线路与图1-1相同,用DVCC-03挂箱的“基尔霍夫定律/叠加原理”电路板。 1. 实验前先任意设定三条支路电流正方向。如图1-1中的I1、I2、I3的方向已设定。闭合回路的正方向可任意设定。 2. 分别将两路直流稳压源接入电路,令U1=6V,U2=12V。 3. 熟悉电流插头的结构,将电流插头的两端接至数字电流表的“+、-”两端。 4. 将电流插头分别插入三条支路的三个电流插座中,读出并记录电流值。 5. 用直流数字电压表分别测量两路电源及电阻元件上的电压值,记录之。 三、预习思考题 1. 根据图1-1的电路参数,计算出待测的电流I1、I2、I3和各电阻上的电压值,记入表中,以便实验测量时,可正确地选定电流表和电压表的量程。 答: 2. 实验中,若用指针式万用表直流毫安档测各支路电流,在什么情况下可能出现指针反偏,应如何处理?在记录数据时应注意什么?若用直流数字电流表进行测量时,则会有什么显示呢? 答:

相关文档
相关文档 最新文档