文档库 最新最全的文档下载
当前位置:文档库 › ICCAVR入门编程

ICCAVR入门编程

ICCAVR入门编程
ICCAVR入门编程

ICC AVR入门编程

下面是本试验板中的例子,点亮一个发光二极管实验。采用试验板自带的项目学习,省去了文件编写及工程创建等一大堆过程。让初学者尽快完成第一个实验,等到成功后再来学习一些创建过程及相关设置(先实践再理论),ICC AVR编程例子。

1、打开ICC AVR软件:

2、由主菜单project(工程)里选new(打开工程)选项,建立一个新项目。

3、选择新工程的存放路径,如存放在exp文件夹中。

4、给工程起个名字,如exp1。

5、点击“保存”按钮,将工程保存至exp1文件夹中

6、返回ICC界面,可以看到右边出现这个界面

7、将实验例程\1点亮1个LED\ICC代码文件夹中led.c文件复制到exp1文件夹中。

8、右击ICC界面右边EXP1下面的files文件,出现下面的界面,双击led.c文件就可以添加文件到工程exp1中。

9、由菜单上点击Project->Options->Target,在Device Configuration里选芯片型号为ATMega16;在Advanced(高级)Return Stack Size(堆栈大小)里填30。

10、完成上面的操作,现在就可以编译了,通过菜单Project->Rebuild All对项目进行编译。如果上面操作没有出错的话,会在项目相同路径下出现烧录文件exp1.hex。

11、到此ICC AVR软件的工作已经完成,将编译输出的led.hex文件烧录到芯片中,连接好硬件电路既可看到实验结果了。

本店是宛峰电子山东济南分店,宛峰电子致力于单片机的开发和学习,秉承物美价廉的宗旨,相互学习才会走的更高,看的更远的理念,锐意创新,多年来形成了自己的知识产权的系列

产品,并且公司常年从事单片机的开发,积累了不少相关的经验,相信我们产品会给你学习开发带来很大的方便

主要经营各种学习板,有:

1.全功能51学习板;AVR学习板;cpld学习板;430学习板;dsp2812学习;ARM7,9学习板,品类齐全,性价比高,全部是精英电子独立自主产权.

2.各类开发工具,包括51/AVR并口下载器,51/AVR USB下载器,AVR串口,USB仿真器,430下载器,alteraCPLD并口下载器,xilinux CPLD并口下载器等

3.各种学习视频,包括51,AVR,cpld,430,cpld,DXP2004,arm.linux系列手把手教你嵌入式视频教程,利于新手起步.

欢迎新老顾客的光顾!

如果您需要以上宝贝,可以到我的淘宝店铺里查找:

我的淘宝店铺:

https://www.wendangku.net/doc/0414720795.html,/shop/view_shop-d7fe57076dae45431c6e45b9b3dd0362.htm?nekot=g%2Cmjwh kzlxmf2gk4rsgaydomjsgm2dc1229431133518

ALTERA FPGA EP2C5Q 开发板用户手册

ALTERA FPGA EP2C5Q 开发板 用户手册 上海亿家网络有限公司

版权所有 ? 上海亿家网络有限公司 2013。 保留一切权利。 非经上海亿家网络有限公司书面同意,任何单位和个人不得擅自摘抄、复制本手册内容的部分或全部,并不得以任何形式传播。 本手册中描述的产品中,可能包含上海亿家网络有限公司及其可能存在的许可人享有版权的软件,除非获得相关权利人的许可,否则,任何人不能以任何形式对前述软件进行复制、分发、修改、摘录、反编译、反汇编、解密、反向工程、转让、分许可以及其他侵犯软件版权的行为。

本手册描述了ALTERA FPGA EP2C5Q开发板的硬件资源、安装、使用和设计原理,用以指导开发板使用。 本手册对应开发板的主要用户为高校学生、电子爱好者、科研单位、企事业单位的开发设计人员,适合于产品原型的快速开发、学生参加各种电子设计大赛、学习FPGA技术入门、课程设计及毕业设计等,亦可用于系统设计前期快速评估,特别适合于FPGA、NIOSII、SOPC 快速入门和产品开发及验证。

1 安全警告和注意事项 (5) 2开发板主要器件及硬件资源 (7) 2.1 主要器件 (7) 2.2 硬件资源 (7) 2.3 PCB和尺寸 (9) 3 开发板的安装和使用 (10) 3.1 开发板安装 (10) 3.2 开发板的使用 (11) 4开发板设计详细说明 (17) 4.1 电源电路 (17) 4.2 时钟电路 (17) 4.3 复位电路 (18) 4.4 JTAG接口电路 (18) 4.5 AS接口电路 (19) 4.6 LED电路 (19) 4.7 存储器SDRAM电路 (19) 4.8外部扩展IO (21) 5技术支持与保修 (23) 5.1关于技术支持 (23) 5.2 关于产品保修 (23) 附录常见问题解答 (24)

nlint安装及使用教程

nLint安装及使用教程 V1.0版本 计通学院通信电子实验室 二〇一五年八月十二日

一、简介 1、何为nLint 一个HDL规则检查软件,检查代码的语法和语义错误,比如异步反馈,卡关时钟,RTL和门级错配等。有助于仿真,综合,ATPG各阶段。 相比起Quartus II等编译工具,nlint可以检查很多规则,包括RMM、命名、代码风格等。而平常利用Quartus II编译时,只要没有语法错误基本可以通过,但存在一些命名不规则、时序风险、结构混乱等问题却无法报出。这是规范代码风格的良好工具。 可以识别时钟域,自动列出跨时钟区域的路径。还能和novas的其他工具nTrace,nSchem亲密交互。 2、好处 nLint可以帮助创建正确语法语义的HDL代码,另外,可用于: ●确保健壮的设计风格,比如同步设计,一致的时钟配置和复位信号。。 ●鼓励使用特定的语言结构 ●强制代码风格和命名规则 ●缩短设计者花在各种工具上的时间,如仿真,综合,ATPG ●在早期阶段查找潜在的错误,减少设计反复的次数 ●创建容易阅读和维护的代码有助于协同设计,并且 ●达到复用设计的目标 ●工作上经常会用到,是必备工具。 3、安装方法 地址:每台电脑的资料盘,或查找nLint.zip (1)解压后,双击e011_nlint22v24nt.exe 并安装,本教程默认安装于D盘

(2)安装完毕后,进入安装的目录D:\Novas\nLint2.2v24\bin (3)找到 nLint.exe ,用UltraEdit 打开(若没有这个软件,请百度下载) (4)打开后,界面如图所示,左边是地址,右边是地址里面的内容按ctrl+F进行搜索,搜索内容为 8B 45 F8 8B E5 5D C3 55 8B EC 81 EC 90 01 00 00

Debussy 仿真快速上手教程

Debussy 介绍 Debussy 是 NOVAS Software, Inc(思源科技)发展的 HDL Debug & Analysis tool, 这套软体主要不是用 来跑模拟或看波形,它最强大的功能是:能够在 HDL source code、schematic diagram、waveform、state bubble diagram 之间,即时做 trace,协助工程师 debug。 可能您会觉的:只要有 simulator 如 ModelSim 就可以做 debug 了,我何必再学这套软体呢? 其实 Debussy v5.0 以后的新版本,还提供了 nLint -- check coding style & synthesizable,这蛮有用的,可以协 助工程师了解如何写好 coding style,并养成习惯。 下图所示为整个 Debussy 的原理架构,可归纳几个结论: Debussy 有四个主要单元(component),nTrace、nWave、nSchema、nState
nTrace -- Hypertext source code analysis and browse tool (为%Debussy &所开启的主 画面) nWave -- Waveform analysis tool (可由 nTrace 内开启,或直接%nWave &开启) nSchema -- Hierarchy schematic generator nState -- Finite State Machine Extraction and analysis tool
Debussy 本身不含模拟器(simulator),必须呼叫外部模拟器(如 Verilog-XL or ModelSim)产生 FSDB file,其显示波形的单元"nWave"透过读取 FSDB file,才能显示波形或讯号值的变化

如何搭建完善的仿真环境

verilog 仿真环境的搭建 1:项目文件的组织
时间: 2009/06/20, 22:37, 作者: 封 俊, 分类: FPGA. 已浏览:315 次 因为 ISE 内置的仿真工具功能比较弱(或者是我没有善于发掘?),所以大多数 的仿真都是交给第三方工具的,比如说 modelsim 以及 NC verilog。就我使用的 工具来说, 只有布局布线之后才是交给 ISE 来完成,之前的流程都可以有更为方 便的工具来替代。现在我所使用的仿真及调试环境主要由 vim+nlint+modelsim+debussy 构成, 使用起来还是相当方便的。 需要说明的是, 我是在 windows 下面使用这些工具的,如果 linux 下使用的话,可能可以更加强 大。 首先介绍下 project 目录下文件的组织方法。
点击图片看大图
左侧的项目主目录
test 目录:主要用来存放子模块仿真所需文件,每个子模块目录内的结构跟 project 目录下大致相同。 syn 目录:存放综合的项目文件及其产生的文件。 sim 目录:存放 rtl 仿真所使用的 testbench。 script 目录:用来存放仿真所需要运行的脚本(主要还是批处理文件)。 rtl 目录:用来存放 rtl 代码。 planahead:用来存放 planahead 工程文件及产生的文件。 others 目录:存放一时难以归类的文件。

ise 目录:用来存放 ise 的项目文件以及产生的文件,包括布局布线所需的文件 以及可供下载的二进制 bit 文件。 doc 目录:用来存放项目所需的参考文档。 core 目录:用来存放项目所需的核相关的文件。 c 目录:用来存放 c 语言程序。 backup 目录:用来存放临时备份。 tags 文件:vim 中自动生成的 tag 索引文件。 syncToy 文件:用于 Microsoft Synctoy 工具的文件,该工具主要用来进行不同 计算机的文件同步。 parameters.v:用于存放项目所需的参数。
右侧的 script 子目录
Debussy.exeLog 与 work 目录:分别是 Debussy 与 modelsim 产生的文件。 transcript 文件:modelsim 的生成的日志文件。 deb.bat:调用 Debussy 并载入项目中的 rtl 文件。 nlint.bat:调用 nlint 进行项目中 rtl 文件的规则检查。 sim.bat:调用 modelsim 进行仿真,并在仿真结束后启动 debussy 观察波形。 sim2.bat:调用 modelsim 进行仿真,但并不启动 debussy。 sim.do:modelsim 的仿真脚本。 run.f:项目中 rtl 的文件列表。 fsdb 文件:modelsim 仿真生成的波形文件。 *.log:仿真时产生的日志文件, 再将波形文件分割为多个文件时产生。 后面会有 介绍。 bram.mif:Block Ram 核初始化所需的数据文件。 novas.rc:debussy 的配置文件。 sig.rc:在 debussy 中察看波形时选择的信号列表。

modelsim_debussy_nlint综合使用方法

Modelsim_debussy_nlint综合使用方法 1软件安装 根据软件的安装说明分别安装Modelsim、Debussy、Nlint的软件并将其破解。三种软件最好不要安装在Program Files文件夹下,因为有时采用命令行调用软件时,文件名中间的空格可能会引起不必要麻烦。 1.1破解Modelsim 在C盘下创建flexm文件夹,将keygen.exe生成的license.dat文件拷贝到flexm文件夹下,如果此处还有别的应用软件的license,可以将2个license 直接合并。打开[系统属性]/高级/环境变量,创建变量LM_LICENSE_FILE,变量值为C:\flexm\license.dat。Modelsim破解完成。 1.2破解Debussy 打开debussy_crack,将Target File指向安装目录下的Debussy.exe文件,依次NEXT,替换掉5个文件,破解完成。 1.3破解Nlint 用安装源文件目录下的nLint.exe替换安装后的nLint.exe文件,破解完成。 1.4环境变量设置 按照如下例子,根据本机的实现情况完成环境变量的设置: Modelsim装在D:\Modeltech_6.2b环境变量path中追加D:\Modeltech_6.2b \win32 debussy装在D:\Debussy设置环境变量的path中追加D:\Debussy\bin nlint装在D:\nLint2.2v24环境变量path中追加D:\nLint2.2v24\bin 2软件准备 让所有软件工作在就绪状态下 2.1完成Modelsim库的添加 将XILINX的VHDL库文件夹拷贝到Modelsim的安装目录下,XILINX的VHDL库包括unisim、XilinxCoreLib、cpld、simprim 4个。同时将本目录中的novas 文件夹拷贝到Modelsim的安装目录下。然后将本目录中的modelsim.ini替换安装目录下的Modelsim.ini文件。 Modelsim.ini主要对以下几个地方进行了修改: 增加对XILINX的库的支持, cpld = $MODEL_TECH/../cpld

相关文档