文档库 最新最全的文档下载
当前位置:文档库 › 实验二_门电路的特性

实验二_门电路的特性

实验二_门电路的特性
实验二_门电路的特性

实验二门电路的特性(终结报告)

预习报告(记录有原始数据)

一.实验目的

1.在理解CMOS门电路和TTL门电路的工作原理和电特性基础上,学习并

掌握其电特性主要参数的测试方法。

2.学习并掌握数字集成电路的正确使用方法

二.预习任务

1,回顾实验一“常用电子仪器使用”,回答下列问题:

(1)如何调整函数发生器,使其输出100Hz、0~5V的三角波信号?

选择三角波输出选项,通过“50Ω”输出端连接示波器观察波形,通过调

节函数信号发生器的频率旋钮,调节频率至100Hz,Vp-p为5V,将示波器的

参考电平位置设置在三角波的最低值处,得到0-5V的三角波,用示波器进

行实际测量,判断调节是否正确应该以示波器的测量结果为准。

(2)用示波器观测到如图1所示的a、b两个信号,假设此时示波器的垂直定标(灵敏度)旋钮位置分别为1V/格和2V/格,请写出它们的最高值和最低值。

解:图(a)中最高值为2V,最低值为-2V

图(b)中最高值为4V,最低值为0V

(3)电压传输特性曲线是指输出电压随输入电压变化的曲线。示波器默认的时基模式为“标准(YT)模式”显示的是电压随时间变化的波形,若要观测电

压传输特性曲线,需改变示波器上哪些菜单或旋钮?

解:示波器默认的时基模式为“标准(YT)模式”,若要观察电压传输特性,

应该将时基模式调节为XY模式。具体调节方法如下:按下【Horiz】按钮,

在“水平设置菜单”中,按下时间模式,然后改变时基模式由原来的“标

准”变为“XY模式”。

(4)用示波器观测两路信号时,如何调整示波器使波形稳定的显示在屏幕上?

应该合理设置触发源和触发电平使得波形稳定,调节【Trigger】旋钮2,仔细阅读《数字电子技术基础》第三章相关内容,并结合各项任务完成以下内容。

(1)写出各测试电路中门电路的工作电压。

测试电路1,工作电压V DD=5V;

测试电路2,V DD=12V;

测试电路3,工作电压为V DD=5V;

(2)写出各测试电路输入信号的类型、频率、电压值。

测试电路1,

(3)什么是阈值电压?什么是噪声容限?在电压传输特性曲线中如何读取?

解:阈值电压:通常将传输特性曲线中输出电压随输入电压改变而急剧变化转折区

的中点对应的输入电压称为阈值电压;

噪声容限:是指在前一极输出为最坏的情况下,为保证后一极正常工作,所允许的最大噪声幅度;

高电平噪声容限=最小输出高电平电压-最小输入高电平电压

低电平噪声容限=最大输入低电平电压-最大输出低电平电压

噪声容限=min{高电平噪声容限,低电平噪声容限}

(4)写出各项任务的测试方法及步骤。

步骤见各任务;

(5)列出各项任务记录数据的表格。

表格见各任务;

(6)写出测试过程中的注意事项。

测试2:每次在改变变阻器阻值时,都要断电后再进行电阻的测量,而且计

算时电阻的取值以实际测量值为准;

测试3:要注意传输延迟时间的定义,是以输入,输出的幅值的一半对应的时间点为基准进行计算的数据记录

(7)根据选做任务内容分析图5电路,试着给出取样电阻R的阻值范围。三.必做任务

1. CMOS与非门CD4011的电压传输特性

CD4011引脚图如下

Vi 输出100Hz,0-5V 的锯齿波,因此工作电压DD V =5V ,SS V 接地 实验步骤:

(1) 连接电路,注意芯片的放置方式以及引脚的位置

(2) 利用示波器观察函数信号发生器产生的波形符合要求后,再将信号接到输入端

(3) 将示波器调到XY 工作方式,CH1接输入,CH2接输出

(4) 观察电压传输特性,读取

并标注和记录数据;

实验数据记录表格

工作电压实测:4.99V ;

2.测试CMOS 与非门CD4011输出低电平负载特性

改变RL 的阻值,用逐点法画出CMOS 与非门低电平输出特性曲线,并以此估计DD V =5V 时的导通电阻PLH t

测试电路如下

输入端接高电平,该电路的电路可以等效为

本实验中工作电压VDD 取5V ,输入信号为高电平直流信号,直接接在VDD 上即可 实验步骤

(1) 电路连接完毕后,将变阻器调到最大位置,再开始进行实验 (2) 逐点改变RL 的阻值,测量输出电压,并以此计算出输出电流TH V

*注意事项

每次在改变变阻器阻值时,都要断电后再进行电阻的测量,而且计算时电阻的取值以实际测量值为准

实验数据记录表如下

实验后可以绘制Vo 与IoL 的关系图像,二者的比值应该是常数,该常数就是DD V =5V 时的导通电阻DD V

3. CMOS 与非门CD4011的传输延迟时间PHL t ,PLH t

测试电路如下

该实验的输入Vi 是频率为20kHz 的方波信号,记录输入,输出波形和传输延迟时间PHL t ,PLH t *注意事项:

要注意传输延迟时间的定义,是以输入,输出的幅值的一半对应的时间点为基准进行计算的 数据记录

四、选做任务

观察CMOS 与非门CD4011的动态功耗

测试电路如右:

输入信号为100Hz ,0-5V 的三角波,在门电路V SS 和地之间接入一个小的电流取样电阻,取样电阻是用来将电流转换为电压,以观察瞬时到导通电流的变化情况

电阻R 的取值范围的确定:

得到R 上的瞬时电压变化曲线后,可以从图上读出TH V 以及输入输出噪声容限等数据 五、实验注意事项

1,本实验中门电路的工作电压均由学习机上引出,其中第二题为12V ,其余为5V. 2,了解芯片的引脚排列,特别注意电源和接地引脚不能接错。 3,门电路的输入信号的高低电平标准。0~5V 和0~12V 的三角波信号先在示波器上调好之后,才可以接到电路中。

数据处理(原始数据记录见预习报告部分)

1,CMOS 与非门CD4011的电压传输特性 门电路的工作电压:V DD = 5V(实测值为:5.02V) 输入信号的类型:锯齿波(三角波)频率:100Hz 电压值:0~5V

数据记录:

计算公式:V NL = V OFF– V OL MAX;

V NH = V OH MIN– V ON;

由示波器观察到的电压传输特性曲线如下图:

分析数据:由波形图以及计算数据可得,CMOS与非门CD4011的电压传输特性基本上与理想情况相同呈阶梯状,其转折区曲线很陡,变化率很大,接近于跃变。同时,V DD = 5.02V,V TH = 2.575V,近似满足V TH= 0.5VDD的关系。因此,CMOS与非门CD4011接近于CMOS门理想特性。

2,CMOS与非门CD4011输出低电平负载特性。

工作电压:5V(实测值为:4.99V)

输入信号的类型:直流;频率:0Hz;大小:5V

R1 = 1.0kΩ

数据记录:

计算举例:

由式:I OL = (V DD - V O ) / R L

R L = 24kΩ时,I OL = (4.99 – 0.03) / 24 = 0.207mA

逐点法做出CMOS 与非门CD4011输出低电平负载曲线如下:

分析数据:线性拟合出负载特性曲线,此时的直线斜率即为R ON (注意单位),由曲线表达式可估算出V DD = 5V 时,R ON 约为159.9Ω。

3,测试CMOS 与非门CD4011的传输延迟时间t PHL ,t PLH

工作电压:5V(实测值为:5.025V) 输入信号的类型:方波;频率:20kHz ;

0, -0.0003

0.207, 0.03

0.329, 0.0487

0.492, 0.0730.539, 0.0810.598, 0.09010.652, 0.0989

0.95, 0.14461.028, 0.158

1.328, 0.21 1.95, 0.31

y = 0.159x -0.004

R2 = 0.999

-0.1

00.10.20.30.40.500.5

1

1.5

2

2.5

3

3.5

V O / V

IOL / mA

CMOS 低电平输出负载特性曲线

大小:5V

数据记录:

用XY时基模式得到输入输出信号波形如下:(黄—输入;绿—输出)

分析数据:由预习报告中实验原理可知,由于MOS管寄生电容以及输出端负载电容的存在,当输入信号跳变的时候,输出电压的变化必然滞后于输入电压的变化,由此产生了传输延迟时间。将波形图放大得到下图,并得出上表格中的记录数据。其中,tPHL与tPLH的数量均与理论相接近。

实验收获与总结

1,本次实验为本学期数电第二次实验,通过本次实验,初步掌握了数电实验的基本接线方法,并对与非门电路的性质有了更深地了解。

2,本次实验中第一次使用芯片进行接线。由于本次实验的电

路较为简单,所以在接线过程中并未出现很大的错误。不过在最开始接线的时候采用了用很长的导线接线,发现这样做问题很大,不但电路不稳而且不易于后续的检查和调节,电路也不够清晰。除此之外接出来的电路有些混乱,不易于进行后续检查。因此在以后的实验中应该尽量保持接线的步骤,使用长短适宜的导线完成电路,并将线路尽量搭接清晰。

3,通过本次数电实验,发现排错能力非常重要。首先应当细心接线,不犯低级错误,其次,出现了意外之后,应当冷静的思考,认真排查、找出根源、排除故障。本次实验中出现的一些小问题(比如接触不良、芯片烧毁等)都是非正常故障,应该通过实验累积经验,逐渐提高自己的排错能力。

4,本次实验中,在测量传输延迟时间时曾出现波形不稳定的情况,后调节了触发模式,便稳定了下来。说明在使用示波器和调试方面还有很大的欠缺,以后实验中应当注意。

实验思考题

1,在CMOS数字集成电路中,如CD4011,若仅用到其中的一个门电路,其余门电路输入端应该如何处理?为什么?

答:即使只是用一个门电路时,也不能将CMOS芯片中其他门电路输入端悬空,因为悬空时CMOS门电路容易受到外界噪声的干扰,将会使逻辑功能混乱,容易对门电路造成损坏,应当将输入端做接地处理。

3,能,V1选取5V,20kHz的方波,利用滑动变阻器将VDD在5~12V

之间调节,取多组值进行读数,就可以观测CMOS电路的直流噪声容限与电源电压的关系。

基本门电路实验报告处理

43121556423156实验三:基本门电路及触发器 实 验 室: 实验台号: 日 期: 2016.10.7 专业班级: 姓 名: 学 号: 一、 实验目的 1.了解TTL 门电路的原理,性能好使用方法,验证基本门电路逻辑功能。 2.掌握门电路的设计方法。 3.验证J-K 触发器的逻辑功能。 4.掌握触发器转换的设计方法。 二、实验内容 (一)验证以下门电路的逻辑关系 1. 用与非门(00)实现与门逻辑关系:F=AB 2. 异或门(86): (二):门电路的设计(二选一) 1.用74LS00和74LS86 设计半加器. 2.用TTL 与非门设计一个三人表决电路。 A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00和 74LS10芯片。 (三)验证JK 触发器的逻辑关系 1.J-K 触发器置位端、复位端及功能测试。 图3-1 JK 触发器(74LS112)和D 触发器(74LS74) 2、设计J-K 触发器转化成D 触发器的电路 利用与非门和J-K 触发器设计并测试逻辑功能。 B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+=' n n n B A C ='

A B F 三、实验原理图 图3-2与门电路 图3-3 异或门电路 图3-4半加器 四、实验结果及数据处理 1. 直接在实验原理图上标记芯片的引脚。 2. 写出实验结果。 (1)与门、异或门实验结果表(用数字万用表测量高低电平1、0的电压值。) (2)半加器实验结果 (3) 表决电路结果 =1A B F

电力拖动实验—思考题答案

; n1.测量比例+积分环节前,必须将电容两端短路,否则会出现什么情况,为什么 电容两端短路是为了让电容放电,将电路变成纯比例环节,否则测量时只能观察到比例环节而看不到积分环节。 2.接线检查无误、弄清楚如何测量数据/波形后,方可通电,并尽快完成测试断电,准备下次测试,通电调试时间过长容易烧电机和其它器件(为什么) 主要是因为实验中的电机无散热装置,调试时间过长,引起电机发热,其温度升高超出允许限度,导致绕组过热烧毁。 3.工作时,必须保证直流发电机/电动机励磁电源接通(为什么) 如果没有励磁,电机内没有初始磁场,电机无法启动,且先加电枢电源,引起启动电流过大,长时间后会烧坏电机。 ( 4.电流变换器的输出值的大小主要与哪些因素有关 答案一:放大器的电压倍数,电流变换器的电压放大倍数,转速偏差电压。 答案二:电流输出与整流管电流大小、变压器功率大小有关。如果是开关电源,还与开关管功率有关。 5.本系统中,给定值、比较器、执行机构、受控对象、被控量、测量及变送器、测量信号分别是什么 给定值——转速给定电压 比较器——PI调节器 执行机构——UPE(晶闸管可控整流器) < 受控对象——电动机M 被控量——转速 测量及变送器——电流变送器 测量信号——给定值 6.P调节器和PI调节器在直流调速系统中的作用有什么不同它们对于闭环控制系统的动态误差和静态误差有何影响 作用:P调节器使调速系统动态响应快,PI调节器使调速系统在无静差的情况下保持恒速运行,实现无静差调速,提高了稳态精度,进一步提高系统的稳定性能。 影响:P调节器的比例系数越小,消除误差能力越强;而采用PI调节器的闭环调速系统无静差。 # 7.实验中,如何确定转速反馈的极性并把转速反馈正确地接入系统中调节什么元件能改变转速反馈的强度 通过给定值Uct的极性来判断。调节给定值Uct和反馈系数比α能改变转速反馈的强度。 8.对于电流单闭环、速度单闭环和速度-电流双闭环系统,给定输入的极性应如何设置(Uct

电力电子电路分析与仿真实验报告模板

电力电子电路分析与仿真 实验报告 学院:哈尔滨理工大学荣成学院 专业: 班级: 姓名: 学号:

年月日 实验1降压变换器 一、实验目的: 设计一个降压变换器,输入电压为220V,输出电压为50V,纹波电压为输出电压的0.2%,负载电阻为20欧,工作频率分别为220kHz。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 四、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个

平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。 3.仿真模型如图所示。 六、参数设置 七、仿真结果分析

实验2升压变换器 一、实验目的: 将一个输入电压在3~6V的不稳定电源升压到稳定的15V,纹波电压低于0.2%,负载电阻10欧,开关管选择MOSFET,开关频率为40kHz,要求电感电流连续。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 五、实验原理图:

五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。 3.仿真模型如图所示。 六、参数设置 七、仿真结果分析

简易位数字密码锁控制电路设计实验报告

目录 一、前言 (2) 二、课设任务 (2) 三、方案设计、原理分析 (2) 四、译码电路设计 (8) 五、报警信号产生器 (10) 六、调试及结果 (12) 七、体会 (13)

一、前言 本次课程设计的基本任务是着重提高学生在EDA知识学习与应用方面的实践技能。学生通过电路设计安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 EDA技术是电子信息类专业的一门新兴学科,是现代电子产品设计的核心,其任务是掌握在系统可编程逻辑器件及其应用设计技术,为电子产品开发研制打下坚实基础。 本课程设计对学生有如下要求:根据设计任务和指标,初步电路;通过调查研究,设计计算,确定电路方案;选择元器件,在计算机上连好线路,独立进行试验,并通过调试、仿真、改进方案;分析实验结果,写出设计总结报告:学会自己分析,找出解决问题方法;对设计中遇到的问题,能独立思考、查阅资料,寻找答案。 二、课设任务 1、14位数字密码分成高7位(DH6…DH0)和低7位(DL6…DL0), 用数字逻辑开关预置,输出信号out为1表示开锁,否则关闭。 2、14位数字密码分时操作,先预置高7位,然后再置入低7位。 3、要求电路工作可靠,保密性强,开锁出错立即报警。 4、利用MAX plus2 软件进行设计、编译,并在FPGA芯片上实现。 5、简易14位数字密码锁模块的框图如下: 三、方案设计、原理分析 首先我是一班的三号,所以我的密码时0100010 0000011。我所做的设计是先把高七位输入锁存,然后在输入低七位,

最后判断密码是否正确,密码正确就开锁,密码错误就报警。数字密码锁控制电路的组成部分:YMQ模块, 1、IC9A的设计 设计要求14位数字密码分时操作,先预置高七位0100010,而后置低七位0000011,首先可以使用寄存器将高七位存起来,而后与低七位一起进行译码,如果密码正确,输出OUT2为1,否则为0. 2、数字密码锁控制电路原理图:

电路原理实验思考题答案

实验一电阻元件伏安特性的测绘 1、设某器件伏安特性曲线的函数式为I=f(U),试问在逐点绘制曲线时,其坐标变量应如 何放置? 在平面内绘制xOy直角坐标系,以x轴为电压U,y轴为电流I,观察I和U的测量数据,根据数据类型合理地绘制伏安特性曲线。 2、稳压二极管与普通二极管有何区别,其用途如何? 普通二极管的主要特性是单向导电性,也就是在正向电压的作用下,导电电阻很 小;而在反向电压作用下导电电阻极大或无穷大。正因为二极管具有上述特性, 电路中常把它用在整流。稳压二极管的特点就是加反向电压击穿后,其两端的电压基本保持不变。稳压二极管用来稳压或在串联电路中作基准电压。普通二极管和稳压二极管都是PN半导体器件,所不同的是普通二极管用的是单向导电性, 稳压二极管是利用了其反向特性,在电路中反向联接。 实验二网络的等效变换于电源的等效变换 1、通常直流稳压电源的输出端不允许短路,直流恒流源的输出端不允许开路,为什么? 2 P U 如果电压源短路,会把电源给烧坏,相当于负载无限小,功率R为无穷大。 2 如果电流源开路,相当于负载无穷大,那么功率P I R为无穷大,也会烧坏电流源。 2、电压源与电流源的外特性为什么呈下降趋势,稳压源和恒流源的输出在任何负载下是 否保持恒值? 因为电压源有一定内阻,随着负载的增大,内阻的压降也增大,因此外特性呈下降趋势。电流源实际也有一个内阻,是与理想恒流源并联的,当电压增加时,同样由于内阻的存在,输出的电流就会减少,因此,电流源的外特性也呈 下降的趋势。不是。当负载大于稳压源对电压稳定能力时,就不能再保持电压稳定了,若负载进一步增加,最终稳压源将烧坏。实际的恒流源的控制能力一般都有一定的范围,在这个范围内恒流源的恒流性能较好,可以基本保持恒流,但超出恒流源的恒流范围后,它同样不具有恒流能力了,进一步增加输出的功率,恒流源也将损坏。 实验三叠加原理实验 1、在叠加原理实验中,要令U1、U2分别单独作用,应如何操作?可否直接 将不作用的电源(U1或U2)置零连接? 在叠加原理实验中,要令U1单独作用,则将开关K1投向U1侧,开关K2投向 短路侧;要令U2单独作用,则将开关K1投向短路侧,开关K2投向U2侧。不

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

集成门电路功能测试(三态门)

集成门电路功能测试实验报告 一实验内容 1 三态门的静态逻辑功能测试。 2 动态测试三台门。并画出三态门的输出特性曲线。输入为CP矩形波。 3 测试三态门的传输延迟时间。 4 动态测试三态门的电压传输特性曲线。输入为三角波。 二实验条件 硬件基础实验箱,函数信号发生器,双踪示波器,数字万用表,74LS125。 三实验原理 1 首先测试实验箱上提供的频率电源参数是否正确。 打开实验箱电源,把分别把5MHz的脉冲接入红表笔上,黑表笔接地。观察示波器显示波形的频率是否为5MHz,经过观察计算,波形频率接近5M。误差很小,从下图可以看出,ch1为输入波形一个周期占四个格子,可计算得到f=5MHz。 2 三态门的静态逻辑功能测试。(后面四个实验都是通过示波器在同一时刻测试 3动态测试三台门。并画出三态门的输出特性曲线。输入为CP矩形波。 使能端无效是波形:

使能端有效时输出波形 4 测试三态门的传输延迟时间。 通过测量同一时刻的输入输出波形,可以观察到三态门的输出延迟。得到波形图为

CH1,CH2分别为输入输出波形,可以看出在上升沿的输出延迟为10ns 然而下降沿的时候的截图已经丢失了,依稀记得在实验时候,测得是数据下降沿的输出延迟与上升沿的不一致,并且比上升沿的短。为9.6ns,其传输延迟为两个延迟的平均值9.8ns。 5 测试三态门的电压传输特性曲线。输入为三角波。 得到输入输出波形为:CH1为输入,CH2为输出。

得到阀值电压为0.92V。 四总结 这次实验基本上和上次实验的方法一样,没遇到什么大的问题。就是还是粗心。五评价 实验效果挺好。巩固了对逻辑器件的功能测试的方法和操作。

门电路实验报告

实验报告实验课题:门电路 实验目的: 常用腔I ri m路邂样功能扯其精试n也. 订件电路蔓圖实脸箱的结构、茶本功能和僅用有氐 掌握电路连接、排除故障和调试的方法。 实验仪器与器材: 1、数字电子技术实验系统 2、741^00典2输入与非门「I片 74LSI1三3输入与门1片 74LS04反和器 1片 741SH6 V^2输人异或门1片74LS32四2输入界或门1片 实验内容及步骤: TTL门电路逻辑功能验证 按图1-1在实验系统(箱)上找到相应的门电路。并把输入端按实验箱的逻辑开关,输出端按发光二极管如图1-2所示TTL与门电路逻辑功能验证接线图。 按状态表1-1中“与门”一栏输入A、B(0,1信号,观察输出结果()看LED备用发光二极管,如灯亮为1,灯灭为0)填入表1-1中,并用万用表测量0、1电平值。 按同样的方法,验证“或门” 74LS32…等的逻辑功能,并把结果填入表1-1中

图1-2TTL门电路实验流程图 实验数据记录及处理结果: 数据了记录自行完成 理论知识挺弄拐的.们实劭实行起來的确密纠斛的*做了好爭次总定有轲題?焉来

懂得了从电路图到真实电路的基 发現电线育 廉足坏抻的.做电蹬实检.还a 需啖多些经检呐? 五、实验总结 通过这次试验,我了解了用仪器拼接电路的基本情况。 本过程。在连接的时候,很容易因为线或者门出现问题。 H 次实验除珅下杲很豆杂”程是线路tt 较離连?实验所用到的关锭器件也不龙好找。 理论知识挺容易的+ (I 」实际实杠血來时例侥纠塔的.做了好茲挟总是育何遥*门来 发现电线件一棍呈坏抻的.做电賂实龄T 还定斋味幸映绅輪呐=

电路原理实验思考题答案

电路原理实验思考题答案 Prepared on 22 November 2020

实验一电阻元件伏安特性的测绘1、设某器件伏安特性曲线的函数式为I=f(U),试问在逐点绘制曲线时,其坐标变量应如何放置 在平面内绘制xOy直角坐标系,以x轴为电压U,y轴为电流I,观察I和U的测量数据,根据数据类型合理地绘制伏安特性曲线。 2、稳压二极管与普通二极管有何区别,其用途如何

实验六 一阶动态电路的研究 1、什么样的电信号可作为RC 一阶电路零输入响应、零状态响应和完全响应的激励源 阶跃信号可作为RC 一阶电路零输入响应激励源;脉冲信号可作为RC 一阶电路零状态响应激励源;正弦信号可作为RC 一阶电路完全响应的激励源, 2、已知RC 一阶电路R=10K Ω,C=μF ,试计算时间常数τ,并根据τ值的物理意义,拟定测量τ的方案。 ()ms s RC 111.010******* 63=?=???==--τ。测量τ的方案:如右图所示电路,测出电阻R 的值与电 容C 的值,再由公式τ=RC 计算出时间常数τ。 3、何谓积分电路和微分电路,他们必须具备什么条 件它们在方波序列脉冲的激励下,其输出信号波形的变化规律如何这两种电路有何功用 积分电路:输出电压与输入电压的时间积分成正比的电路;应具备的条件: ?≈dt RC u u S C 1。微分电路:输出电压与输入电压的变化率成正比的电路;应具备的条 件:dt d RC u u S R ≈。在方波序列脉冲的激励下,积分电路的输出信号波形在一定条件下成为三角波;而微分电路的输出信号波形为尖脉冲波。功用:积分电路可把矩形波转换成三角波;微分电路可把矩形波转换成尖脉冲波。 实验七 用三表法测量电路等效参数 在50Hz 的交流电路中,测得一只铁心线圈的P 、I 和U ,如何算得它的阻值及电感量 若测得一只铁心线圈的P 、I 和U ,则联立以下公式:阻抗的模I U Z =,电路的功率因数UI P =?cos ,等效电阻?cos 2Z P R I ==,等效电抗?sin Z X =,fL X X L π2==可计 算出阻值R 和电感量L 。 实验八 正弦稳态交流电路相量的研究 1、在日常生活中,当日光灯上缺少了启辉器时,人们常用一根导线将启辉器的两端短接一下,然后迅速断开,使日光灯点亮;或用一只启辉器去点亮多只同类型的日光灯,这是为什么 当开关接通的时候,电源电压立即通过镇流器和灯管灯丝加到启辉器的两极。220伏的电压立即使启辉器的惰性气体电离,产生辉光放电。辉光放电的热量使双金属片受热膨

电子科技大学 模拟电路实验报告01

模拟电路实验报告 实验一常用电子测量仪器的使用 1.实验目的 (1)了解双踪示波器、函数信号发生器、晶体管毫伏表、直流稳压电源的工作原 理和主要技术指标。 (2)掌握双踪示波器、晶体管毫伏表、直流稳压电源的正确使用方法。 2.实验原理 示波器是电子测量中最常用的一种电子仪器,可以用它来测试和分析时域信号。示波器通常由信号波形显示部分、垂直信道(Y通道)、水平信道(X通道)三部分组成。YB4320G是具有双路的通用示波器,其频率响应为0~20MHz。 为了保证示波器测量的准确性,示波器内部均带有校准信号,其频率一般为1KHz,即周期为1ms,其幅度是恒定的或可以步级调整,其波形一般为矩形波。在使用示波器测量波形参数之前,应把校准信号接入Y轴,以校正示波器的Y轴偏转灵敏度刻度以及扫描速度刻度是否正确,然后再来测量被测信号。 函数信号发生器能产生正弦波、三角波、方波、斜波、脉冲波以及扫描波等信号。由于用数字LED显示输出频率,读数方便且精确。 晶体管毫伏表是测量正弦信号有效值比较理想的仪器,其表盘用正弦有效值刻度,因此只有当测量正弦电压有效值时读数才是正确的。晶体管毫伏表在小量程档位(小于1V)时,打开电源开关后,输入端不允许开路,以免外界干扰电压从输入端进入造成打表针的现象,且易损坏仪表。在使用完毕将仪表复位时,应将量程开关放在300V挡,当电缆的两个测试端接地,将表垂直放置。 直流稳压电源是给电路提供能源的设备,通常直流电源是把市电220V的交流电转换成各种电路所需要的直流电压或直流电流。一般一个直流稳压电源可输出两组直流电压,电压是可调的,通常为0~30V,最大输出直流电流通常为2A。 输出电压或电流值的大小,可通过电源表面旋钮进行调整,并由表面上的表头或LED显示。每组电源有3个端子,即正极、负极和机壳接地。正极和负极就像我们平时使用的干电池一样,机壳接地是为了防止外部干扰而设置的。 如果某一电路使用的是正、负电源,即双电源,此时要注意的是双电源共地的接法,以免造成短路现象。 数字万用表可用于交、直流电压测量、交、直流电流测量,电阻测量,一般晶体管的测量等。一般的数字万用表交流电压挡的频率相应范围为45Hz~500Hz,用

东南大学门电路和组合逻辑电路实验报告材料实用模板.

东南大学电工电子实验中心 实验报告 课程名称: 第次实验 实验名称: 院 (系 :专业: 姓名:学号: 实验室 : 实验组别: 同组人员:实验时间:年月日评定成绩:审阅教师: 一、实验目的 二、实验原理 三、预习思考题 1、下图中的两个电路在实际工程中经常用到,其中反相器为 74LS04,电路中的电阻起到了保证输出电平的作用。分析电路原理,并根据器件的直流特性计算电阻值的取值范围。

N 个 N 个 (a (b 答:①电路 (a使用条件是驱动门电路固定输出为低电平 ②电路 (b使用条件是驱动门电路固定输出为高电平 2、下图中的电阻起到了限制前一级输出电流的作用,根据器件的直流特性计算电阻值的取值范围。 N 个 答: 3、图 2.4.1 用上拉电阻抬高输出电平中, R 的取值必须根据器件的静态直流特性来计

算,试计算 R 的取值范围。 5 V 图 2.4.1 用上拉电阻抬高输出电平 答: 4、图 2.4.3(a中 OC 外接上拉电阻的值必须取的合适,试计算在这个电路中 R 的取值范围。 (a OC 门做驱动 答:

5、下图中 A 、 B 、 C 三个信号经过不同的传输路径传送到与门的输入端,其中计数器为顺序循环计数, 即从 000顺序计到 111, C 为高位, A 为低位。 A 、 B 、 C 的传输延分别为 9.5nS 、 7.1nS 和 2nS 。试分析这个电路在哪些情况下会出现竞争-冒险,产生的毛刺宽度分别是多少。 答: 四、实验内容 必做实验: A 2.5节实验:门电路静态特性的测试 内容 7. 用 OC 门实现三路信号分时传送的总线结构框图如图 2.5.4所示, 功能如表 2.5.2所示。 (注意 OC 门必须外接负载电阻和电源, E C 取 5V D 2 D 1 D 0 图 2.5.4 三路分时总线原理框图①查询相关器件的数据手册,计算 OC 门外接负载电阻的取值范围,选择适中的电阻 值,连接电路。

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

电工实验思考题答案汇总

实验1 常用电子仪器的使用 实验报告及思考题 1.总结如何正确使用双踪示波器、函数发生器等仪器,用示波器读取被测信号电压值、周期(频率)的方法。答:要正确使用示波器、函数发生器等仪器,必须要弄清楚这些仪器面板上的每个旋钮及按键的功能,按照正确的操作步骤进行操作. 用示波器读取电压时,先要根据示波器的灵敏度,知道屏幕上Y轴方向每一格所代表的电压值,再数出波形在Y轴上所占的总格数h,按公式计算出电压的有效值。 用示波器读取被测信号的周期及频率时,先要根据示波器的扫描速率,知道屏幕上X轴方向每一格所代表的时间,再数出波形在X轴上一个周期所占的格数d,按公式T= d ×ms/cm,,计算相应的周期和频率。 2.欲测量信号波形上任意两点间的电压应如何测量?答:先根据示波器的灵敏度,知道屏幕上Y轴方向每一格所代表的电压值,再数出任意两点间在垂直方向所占的格数,两者相乘即得所测电压。 3.被测信号参数与实验仪器技术指标之间有什么关系,如何根据实验要求选择仪器?

答:被测信号参数应在所用仪器规定的指标范围内,应按照所测参量选择相应的仪器。如示波器、函数发生器、直流或交流稳压电源、万用表、电压表、电流表等。 4.用示波器观察某信号波形时,要达到以下要求,应调节哪些旋纽?①波形清晰;②波形稳定;③改变所显示波形的周期数;④改变所显示波形的幅值。答:①通过调节聚焦旋钮可使波形更清晰。 ②通过配合调节电平、释抑旋钮可使波形稳定。 ③调节扫描速度旋钮。 ④调节灵敏度旋钮。 实验2 基尔霍夫定律和叠加原理的验证 七、实验报告要求及思考题 1.说明基尔霍夫定律和叠加原理的正确性。计算相对误差,并分析误差原因。 答:根据实验数据可得出结论:基尔霍夫定律和叠加原理是完全正确的。 实验中所得的误差的原因可能有以下几点:

完整版模拟电子电路实验报告

. 实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R 和R组成的分压电路,并在发射极中接有电阻R,以稳定放大器的静态工EB1B2作点。当在放大器的输入端加入输入信号u后,在放大器的输出端便可得到一i个与u相位相反,幅值被放大了的输出信号u,从而实现了电压放大。0i 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R和R 的电流远大于晶体管T 的 B2B1基极电流I时(一般5~10倍),则它的静态工作点可用下式估算B教育资料.. R B1U?U CCB R?R B2B1 U?U BEB I??I EC R E

)R+R=UU-I(ECCCCEC电压放大倍数 RR // LCβA??V r be输入电阻 r R/// R=R/beiB1 B2 输出电阻 R R≈CO由于电子器件性能的分散性比较大,因此在设计和制作晶 体管放大电路时, 为电路设计提供必离不开测量和调试技术。在设计前应测量所用元器件的参数,还必须测量和调试放大器的静态工作点和各要的依据,在完成设计和装配以后,因此,一个优质放大器,必定是理论设计与实验调整相结合的产物。项性能指标。除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。消除干扰放大器静态工作点的测量与调试,放大器的测量和调试一般包括:与自激振荡及放大器各项动态参数的测量与调试等。、放大器静态工作点的测量 与调试 1 静态工作点的测量1) 即将放大的情况下进行,=u 测量放大器的静态工作点,应在输入信号0 i教育资料. . 器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流I以及各电极对地的电位U、U和U。一般实验中,为了避 ECCB免断开集电极,所以采用测量电压U或U,然后算出I的方法,例如,只要 测CEC出U,即可用E UU?U CECC??II?I,由U确定I(也可根据I),算出CCC CEC RR CE同时也能算出U=U-U,U=U-U。EBEECBCE为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 2) 静态工作点的调试 放大器静态工作点的调试是指对管子集电极电流I(或U)的调整与测试。 CEC静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u的负半周将被削底,O 如图2-2(a)所示;如工作点偏低则易产生截止失真,即u的正半周被缩顶(一 O般截止失真不如饱和失真明显),如图2-2(b)所示。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端 加入一定的输入电压u,检查输出电压u的大小和波形是否满足要求。如不满Oi

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

电路实验思考题

实验4 1.叠加原理中US1, US2分别单独作用,在实验中应如何操作可否将要去掉的电源(US1或US2直接短接 2.实验电路中,若有一个电阻元件改为二极管,试问叠加性与齐次性还成立吗为什么 实验6 1.如何测量有源二端网络的开路电压和短路电流,在什么情况下不能直接测量 A.开路电压可以直接用V表直接量出来;然后接一个负载电阻,再量端口电压,该电压除以该电阻得电流,用该电流去除两次电压测量的差值,得等效内阻,于是,开路电压除以等效内阻得短路电流。 B.当内电阻过小时,不能测量短路电压,当内阻过大时,不能测量开路电压。 2.说明测量有源二端网络的开路电压及等效内阻的几种方法 A.开路电压、短路电流法b半电压法C.伏安法D.零示法 一用电压表直接测电压,把电路内电流源短路,电压源开路。用电阻档测电阻。二在电路分 别接二个不同电阻,测出电阻上的电流和电压。然后计算出。列两个二元一次方程就行。 实验8 1.什么是受控源了解四种受控源的缩写、电路模型、控制量与被控量的关系 受控源向外电路提供的电压或电流是受其它支路的电压或电流控制,因而受控源是双口 元件:一个为控制端口,或称输入端口,输入控制量(电压或电流) ,另一个为受控端口或 称输出端口,向外电路提供电压或电流。受控端口的电压或电流,受控制端口的电压或电流的控制。根据控制变量与受控变量的不同组合,受控源可分为四类: (1)电压控制电压源(VCVS,如图8 —1(a)所示,其特性为: u2u1 其中:皿称为转移电压比(即电压放大倍数) 。 U1 (2)电压控制电流源(VCCS, 如图8—1(b)所示,其特性为: i2 g U1 其中:g m 12称为转移电导。 U1

电子电路实验三-实验报告

电子电路实验三-实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验三负反馈放大电路 实验报告 一、实验数据处理 1.实验电路图 根据实际的实验电路,利用Multisim得到电路图如下: (1)两级放大电路 (2)两级放大电路(闭环)

(3)电流并联负反馈放大电路 2.数据处理 (1)两级放大电路的调试 第一级电路:调整电阻参数,使得静态工作点满足:IDQ约为2mA,UGDQ<-4V。记录并计算电路参数及静态工作点的相关数据(IDQ,UGSQ,UA,US、UGDQ)。 IDQ UGSQ UA US UGDQ 2.014mA-1.28V 5.77V7.05V-6.06V 第二级电路:通过调节Rb2,使得静态工作点满足:ICQ约为2mA,UCEQ=2~3V。记录电路参数及静态工作点的相关数据(ICQ,UCEQ)。 ICQ UCEQ 2.003mA 2.958V 输入正弦信号Us,幅度为10mV,频率为10kHz,测量并记录电路的电压放大倍数 A u1=U o1 U s 、A u= U o U s 及输入电阻Ri和输出电阻Ro。 Au1Au Ri Ro 0.783-152.790.75kΩ 3227.2Ω (2)两级放大电路闭环测试 在上述两级放大电路中,引入电压并联负反馈。合理选取电阻R的阻值,使得闭环电压放大

倍数的数值约为10。 输入正弦信号Us,幅度为100mV,频率为10kHz,测量并记录闭环电压放大倍数 A usf=U o/U s 输入电阻Rif和输出电阻Rof。 Ausf Rif Rof -9.94638.2Ω232.9Ω(3)电流并联负反馈放大电路 输入正弦信号Us,幅度为100mV,频率为10kHz,测量并记录闭环电压放大倍数 A usf=U o/U s 输入电阻Rif和输出电阻Rof。 Ausf Rif Rof 8.26335.0Ω3280.0Ω 3.误差分析 利用相对误差公式: 相对误差=仿真值?实测值 实测值 ×100% 得各组数据的相对误差如下表: 仿真值实测值相对误差 /% IDQ/mA 2.077 2.014 3.13 UA/V 5.994 5.770 3.88 UGDQ/V-5.994-6.060-1.09 ICQ/mA 2.018 2.0030.75 UCEQ/V 2.908 2.958-1.69 Au10.7960.783 1.66 Au-154.2-152.70.98 Ri/ kΩ90.7690.750.01

数字电路实验报告3

数字电路实验报告3 暨南大学本科实验报告专用纸 课程名称数字逻辑电路实验成绩评定实验项目名称三态门特性研究和典型应用指导教师实验项目编号 0806003803实验项目类型验证型实验地点 B406 学生姓名学号 学院电气信息学院系专业电子信息科学与技术实验时间 2013 年5 月27日上午~月日午温度℃湿度 三态门特性研究和典型应用 一、实验目的 1.学习应用实验的方法分析组合逻辑电路功能。 2.熟悉三态门逻辑特性和使用方法。 3.掌握三态门的典型应用,熟悉三态门输出控制和构成总线的应用。 4.学习数字系统综合实验平台可编辑数字波形发生器使用方法。 二、实验器件、仪器和设备 1. 4双输入与非门74LS00 1片 2. 4三态输出缓冲器74LS125 1片 3. 4异或门74LS86 1片 4. 数字万用表UT56 1台 5. TDS-4数字系统综合实验平台 1台 6. PC机(数字信号显示仪) 1台 7. GOS-6051示波器 1台 芯片引脚图 三、实验步骤和测试分析 1、三态门逻辑特性测试(用表格记录测试数据) ①74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。 测试电路图及数据表格如下页所示。 ②74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接高电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。

门电路逻辑功能及测试实验报告记录

门电路逻辑功能及测试实验报告记录

————————————————————————————————作者:————————————————————————————————日期:

深圳大学实验报告实验课程名称:数字电路实验 实验项目名称:门电路逻辑功能及测试学院:信息工程学院 报告人:许泽鑫学号:201 班级:2班同组人: 指导教师:张志朋老师 实验时间:2016-9-27 实验报告提交时间:2016-10-11

一、实验目的 (1)熟悉门电路逻辑功能,并掌握常用的逻辑电路功能测试方法。 (2)熟悉RXS-1B数字电路实验箱。 二、方法、步骤 1.实验仪器及材料 1)RXS-1B数字电路实验箱 2)万用表 3)器件 74LS00四2输入与非门1片 74LS86四2输入异或门1片 2.预习要求 1)阅读数字电子技术实验指南,懂得数字电子技术实验要求和实验方 法。 2)复习门电路工作原理及相应逻辑表达式。 3)熟悉所用集成电路的外引线排列图,了解各引出脚的功能。 4)学习RXB-1B数字电路实验箱使用方法。 3.说明 用以实现基本逻辑关系的电子电路通称为门电路。常用的门电路在逻辑功能上有非门、与门、或门、与非门、或非门、与或非门、异或门等几种。 非逻辑关系:Y=A 与逻辑关系:Y=A B + 或逻辑关系:Y=A B 与非逻辑关系:Y=A B + 或非逻辑关系:Y=A B + 与或非逻辑关系:Y=A B C D ⊕ 异或逻辑关系:Y=A B

三、实验过程及内容 任务一:异或门逻辑功能测试 集成电路74LS86是一片四2输入异或门电路,逻辑关系式为1Y=1A ⊕1B ,2Y=2A ⊕2B , 3Y=3A ⊕3B ,4Y=4A ⊕4B ,其外引线排列图如图1.3.1所示。它的1、2、4、5、9、10、12、13号引脚为输入端1A 、1B 、2A 、2B 、3A 、3B 、4A 、4B ,3、6、8、11号引脚为输出端1Y 、2Y 、3Y 、4Y ,7号引脚为地,14号引脚为电源+5V 。 (1)将一片四2输入异或门芯片74LS86插入RXB-1B 数字电路实验箱的任意14引脚的IC 空插座中。 (2)按图1.3.2接线测试其逻辑功能。芯片74LS86的输入端1、2、4、5号引脚分别接至数字电路实验箱的任意4个电平开关的插孔,输出端3、6、8分别接至数字电路实验箱的电平显示器的任意3个发光二极管的插孔。14号引脚+5V 接至数字电路实验箱的+5V 电源的“+5V ”插孔,7号引脚接至数字电路实验箱的+5V 电源的“⊥”插孔。 (3)将电平开关按表1.3.1设置,观察输出端A 、B 、Y 所连接的电平显示器的发光二极管的状态,测量输出端Y 的电压值。发光二极管亮表示输出为高电平(H ),发光二极管不亮表示输出为低电平(L )。把实验结果填入表1.3.1中。 图1.3.1 四2输入异或门74LS86外引线排列图 1A 1B 1Y 2A 2B 74LS86 V CC 4B 4A 4Y 3B 4A 3Y 1 2 3 4 5 14 13 12 11

电子电路实验二 实验报告

实验二单管放大电路 实验报告 一、实验数据处理 1.工作点的调整 调节RW,分别使I =1.0mA,2.0mA,测量VCEQ的值。 CQ 2.工作点对放大电路的动态特性的影响 分别在ICQ=1.0mA,2.0mA情况下,测量放大电路的动态特性(输入信号vi是幅度为5mV,频率为1kHz的正弦电压),包括测量电压增益,输入电阻,输出电阻和幅频特性。 幅频特性:ICQ=1.0mA

得到幅频特性曲线如下图: ICQ=2.0mA 频率f/Hz 28 80 90 200 400 680 电压增益 18.60 47.10 51.69 88.63 116.44 128.31 |Av| 频率 0.4 0.6 0.8 1.2 2.0 2.5 f/MHz 电压增益 138.33 132.58 126.12 111.39 86.87 74.43 |Av| fL 245Hz fH 1.6MHz 得到的幅频特性曲线如下图: (注:电压增益均取绝对值,方便画图) 3.负反馈电阻对动态特性的影响 改接CE与RE2并联,测量此时放大电路在ICQ=1.0mA下的动态特性(输入信号及测试内容同上),与上面测试结果相比较,总结负反馈电阻对电路动态特性的影响。 电压增益Av 输入电阻Ri 输出电阻Ro -6.46 10792Ω3349Ω 幅频特性: 频率f/Hz 10 27 80 230 400 680 电压增益 3.83 5.61 6.25 6.41 6.42 6.43 |Av| 频率 0.1 0.5 0.7 1.0 2.0 2.8 f/MHz 电压增益 5.61 5.56 5.50 5.39 4.83 4.36

数字逻辑实验报告

数字逻辑实验报告 实验一器件认知及基本逻辑门逻辑功能测试 一、实验目的 1. 认知逻辑器件的外形和引脚的排列。 2.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 3.熟悉TTL中、小规模集成电路的使用方法。 4. 对逻辑器件的逻辑功能进行测试和验证。 5. 掌握"Dais数字电路实验系统”仪器的使用方法。 二、实验所用器件和设备 1.二输入四与非门74LS00 1片 2.二输入四或非门74LS28 1片 3. 二输入四异或门74LS86 1片 4.Dais数字电路实验系统1台 5.万用表1个 三、实验内容 1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2. 测试二输入四或非门74LS28一个或非门的输入和输出之间的逻辑关系。 3.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验提示. 1. 将被测器件插人实验台上的集成块插座中。 2.将器件的引脚7与“地(GND)”连接,将器件的14引脚与+5V连接。 3.用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平为“0”或为“1”。 4. 将被测器件的输出引脚与实验台上的电平指示灯(即发光二极管)连接。指示 灯亮表示输出电平为“1”,指示灯灭表示输出电平为“0”。 五、实验报告要求 1.画出三个实验的接线图。 2.用真值表表示出实验结果。 实验二用全与非门构成全加器 一、实验目的 1. 掌握全加器的逻辑功能和真值表。

2.掌握用全与非门构成全加器的方法。 二、实验所用器件和设备 1. 二输入四与非门74LS00 2片 2.三输入三与非门74LS10 1片 3.六反相器74LS04 1片 4. Dais数字电路实验系统1台 三.实验内容 1.画出全加器的电路图。 2.全与非门构成全加器,并搭出电路。 四.实验提示 二输入四与非门74LS00 中的任一个与非门二输入端连在一起时,此与非门即可当成非门使用。 五.实验报告要求 1.用真值表形式说明全加器的功能。 2.画出用全与非门构成的全加器的电路图。 实验三三态门实验 一、实验目的 I.掌握三态门逻辑功能和使用方法。 2.掌握用三态门构成总线的特点和方法。 二、实验所用器件和设备 1.四2输入正与非门74LS00 1片 2.三态输出的四总线缓冲门74LS125 1片 3.万用表l个 4.Dais数字电路实验系统1台 三、实验内容 1.74LS125三态门的输出负载为74LS00一个与非门输入端。74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。

相关文档
相关文档 最新文档