文档库 最新最全的文档下载
当前位置:文档库 › 《八路彩灯控制电路》

《八路彩灯控制电路》

《八路彩灯控制电路》
《八路彩灯控制电路》

《八路彩灯控制电路》课程设计报告

八路彩灯控制电路

软件2班廖彦(同组成员:胡于)

一、课程设计目的

(一)进一步掌握数字电路课程所学的理论知识。

(二)了解数字电路设计的基本思想和方法

(三)熟悉几种常用集成数字芯片,并掌握其工作原理

二、课程设计描述和要求

实现彩灯控制要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。

(一)彩灯控制器设计要求

设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下:

1.接通电源,电路开始工作,LED灯闪烁;

2.LED灯按照事先设计的方式工作

(二)课程设计的总体要求

1.根据设计任务,每组成员共同完成一份设计电路图

2.根据设计的电路图,两人一组,利用万能板完成电路的焊接,并

调试成功;

3.俩人共同完成一份设计报告。

三、课程设计内容

(一)原理分析

1. 针对题目设计要求,经过分析与思考,决定以下方案:

总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。

主体框图如下:

2. 根据所提供的实验器材各模块总体思路如下:

时钟信号CP电路由555定时器构成的多谐振荡器

161 4位二进制同步计数器和一些非门共同完成

194 双向移位寄存器完成,可左移右移完成花型变化

3.整个花型控制电路设计如下:

花型1 8路灯分两半,从右至左渐亮,全亮后,再分两半从右至左

花型2

渐灭。循

环两次

花型 3

渐灭。循

4.将两片194分为低位片1和高位片2,再将其输出端从低位到高位记为L1~L8,所以四种花型的L1—

L8

三种花型每种花型显示两遍,1~16显示第一个花型,17~32显示第二个花型,33~48显示第三个花型。

5.

要用194实现四个花型的连续显示必须对两片194的S1、S0和SL 、SR 每一 节拍的161级联的输出端从Q0~Q7根据变化

的花型

频率选用高位片的Q5、Q4分别去控制194的S1和S0、SR 则由161的另一些输

出端经过与非门来进行逻辑控制。列出各花型和其对应的194的S1、S0、SL 、

SR 的输入信号及节拍控制信号列表如下:

控制结果表达式如下:

194低位片:S1=Q5,S0=Q5’,SR=SL=Q2’;

194高位片:S1=Q4,S0=Q4’,SR=SL=Q2’。

(二)器件选择

74LS161(四位二进制同步计数器) ------------------------------2个;

74LS194(移位寄存器) ------------------------------------- 2个;

74LS04(六非门) ------------------------------------------- 2个;

发光二极管--------------------------------------------------- 8个;

555 ----------------------------------------------------- 1个;

电容: 4.7μf ----------------------------------------------1个;

0.01μf -----------------------------------------------1个;

电阻: 20kΩ ------------------------------------------------ 1个;

30kΩ-------------------------------------------------1个;

四.总体电路图

5.电路测试

电路组装完成后,实际测量的各个单元电路的输入、输出信号波形

1.基本CP脉冲产生电路波形图波形图

2. 测试波形: (列依次为CP脉冲,低位片194A,B,C,D,高位片194A,B,C,D。即L1-L8)

花型一:

花型二:

花型三:

四、分析与总结

1.本实验应用元件比较少,但结构比较复杂,每一步都要仔细认真,分块接线检验,尤其是连控制电路时,由于连线过多,容易出错。

2.通过这次试验加深了对原器件的认识,对器件的良好应用是实验成功的关键。

3.实验中要注意的细节,注意芯片的清零功能和置位功能。

4.通过几天的努力,在老师的帮助下,我们这组完成了移位寄存彩灯控制电路,认识到实际电路很复杂,通过这次试验对设计电路的认识加深了

5.对电路的设计分析有了较为明确的了解。

五、参考文献

1、高等教育出版社康华光主编的《电子技术基础》

2、内蒙古农业大学自编教材刘晓民裴登嵩编《电子技术基础实验指导书》

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

八路循环彩灯控制电路课设

多功能彩灯控制器设计 目录 一、设计任务及要求----------------------------------------------------------------------- 二、设计方案-------------------------------------------------------------------------------- 三、电路元件参数计算-------------------------------------------------------------------- 四、振荡器的使用(555)--------------------------------------------------------------- 五、译码器的选用(74138)------------------------------------------------------------ 六、计数器的选用(73160)------------------------------------------------------------ 七、彩灯的控制----------------------------------------------------------------------------- 八.设计原理电路-------------------------------------------------------------------------- 九.电路仿真波----------------------------------------------------------------------------- 十.元件列表-------------------------------------------------------------------------------- 十一.故障分析与改进------------------------------------------------------------------ 十二.心得体会-----------------------------------------------------------------------------

八路彩灯控制器的设计上课讲义

八路彩灯控制器的设 计

论文提要 彩灯,又名花灯,是我国普遍流行的传统的民间的综合性的工艺品。彩灯艺术也就是灯的综合性的装饰艺术。彩灯的产生,是从人类运用火、发明灯、制造灯具等发展而来的。随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一的典范。 现今生活中,许多户外商业广告、公益广告、节日彩灯等大多采用循环灯控制形式,它们通过巧妙构思与创作,可以做到广告、彩灯等作品色彩鲜艳,富有创意,变化形式丰富,起着宣传和美化环境的作用,营造文明亮丽氛围。本设计的彩灯能成为现实的一种,但技术上日后将会有更大的改善和提高。 本设计以555定时器,模十六计数器74LS161,74LS194双向移位寄存器,发光二极管等器件实现,具有电路简洁、工作可靠,控制形式多样,使用安全方便的特点。

八路彩灯显示电路的设计 摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。 关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: (1)从左向右逐次亮,间隔为0.5秒。 (2)从右向左逐次灭,间隔为0.5秒。 (3)左四同时亮,然后右四同时亮;左四同时灭,然后右四同时灭,间隔1秒,重复四次(4)八个同时全亮,时间为1秒,然后同时全灭,时间为1秒,重复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在面包板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路

LED流水灯《八路彩灯控制电路》

《八路彩灯控制电路》课程设计报告 《八路彩灯控制电路》课程设计报告 专业:电子信息工程 班级:2010级2班 姓名:X X 学号:XXXXXXXX 同组成员:XX、XX 指导教师:XXX 2011年12月28日

八路彩灯控制电路 目录 一、课程设计目的 .................................... - 2 - 二、课程设计描述和要求............................... - 2 - (一) 彩灯控制器设计要求........................... - 2 - (二) 课程设计的总体要求........................... - 2 - 三、课程设计内容 .................................... - 3 - (一)原理分析................................... - 3 - (二) 器件选择..................................... - 5 - (三)电路连线................................... - 6 - 1.时钟信号电路 ................................... - 6 - 2. 花型控制信号电路 .............................. - 6 - 3.花型演示电路 ................................... - 7 - 4.总体电路图..................................... - 9 - 5.电路测试....................................... - 9 - 四、分析与总结 ..................................... - 11 -

8路彩灯控制电路设计

《8路彩灯控制电路设 计》 祥设计报告 专业:_______________ 班级:_______________ 姓名: ________________ 学号:_______________ 指导教师:____________

2014年6月25日

1 ?课程设计的目的 2. 课程设计题目描述和要求 3. 电路设计 4. 设计过程中遇到的问题及解决办法 5. 结论与体会 附表参考书目

1 ?课程设计目的 1.熟悉仿真软件Multisim ,使用软件经行电路仿真; 2.第握数字电路课程学习的常见芯片的功能,熟悉其工作原理: 3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题; 4.增强学生动手能力,增加学生理论和实践结合的机会。 2. 课程设计题目描述和要求 设计题目 八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个 LED按照不同的花色闪烁 设计要求 1. 接通电源,电路开始工作,LED灯闪烁: 2. LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式 3. 电路设计 3. 1闪烁花型设计 花型I :8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。花型1【:8路彩灯由中间到两讪对称的依次点亮,余亮后仍由中间到两劝对称熄灭。 花型1【1: 8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。 花型IV: 8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。 花型状态编码表如表3. 1. 1所示。 每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。 表3. 1. 1 8路彩灯输出状态编码表

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

八路彩灯控制器 课程设计

目录 第一章总体设计 (5) 1.1设计目的 (5) 1.2设计任务与要求 (5) 1.3基本工作原理 (5) 1.4设计方案 (5) 第二章单片机简介 (6) 2.1单片机结构 (6) 2.2单片机的封装形式、引脚定义及功能 (7) 2.3单片机的工作原理 (8) 2.4 CPU的工作原理 (8) 2.5存储器结构 (9) 2.6 CPU时序及时钟电路 (10) 2.7复位操作 (12) 第三章硬件设计 (14) 3.1整体硬件接结构 (14) 3.2功能模块电路 (14) 3.3系统硬件原理电路图 (16) 第四章软件设计 (17) 4.1软件总体结构设计 (17) 4.2各功能模块设计 (18) 总结与心得 (25) 参考文献: (26)

第一章总体设计 1.1设计目的 1)了解单片机的基本原理及相关的简单应用。 2)掌握用单片机设计系统的一般步骤。 3)了解LED数码管的基本知识和驱动方法。 4)掌握单片机系统各个组成部分的作用以及分布位置。 5)学会运用单片机的硬件资源。 1.2设计任务与要求 8个彩灯的控制电路的任务为:用AT89S51单片机设计设计一个8个彩灯控制电路。要求:完成以下花形变化: 1) 从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。时间节拍为1秒。 2)从两边向中间依次点亮,8个灯全亮;从中间向两边依次熄灭,8个灯全灭。时间节拍为1秒。 3)循环往复,用LED管模拟彩灯。 4)用汇编语言编程,用proteus仿真。 1.3基本工作原理 此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。若查到的内容为跳出代码就重新开始循环。 1.4设计方案 软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。芯片的控制由AT89C51的外接晶振和复位电路进行控制。

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

八路彩灯控制系统

论文提要 彩灯,又名花灯,是我国普遍流行的传统的民间的综合性的工艺品。彩灯艺术也就是灯的综合性的装饰艺术。彩灯的产生,是从人类运用火、发明灯、制造灯具等发展而来的。随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一的典范。 现今生活中,许多户外商业广告、公益广告、节日彩灯等大多采用循环灯控制形式,它们通过巧妙构思与创作,可以做到广告、彩灯等作品色彩鲜艳,富有创意,变化形式丰富,起着宣传和美化环境的作用,营造文明亮丽氛围。本设计的彩灯能成为现实的一种,但技术上日后将会有更大的改善和提高。 本设计以555定时器,模十六计数器74LS161,74LS194双向移位寄存器,发光二极管等器件实现,具有电路简洁、工作可靠,控制形式多样,使用安全方便的特点。 八路彩灯控制器的设计 摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。 关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

八路循环彩灯电路设计

八路循环彩灯电路设计 摘要:灯光的闪烁和流动可以用于各种各样的装饰,例如电子门标、广告装饰等。灯 光的流动总是特别吸引别人的注意力。在夜晚,在城市的街头,当广告牌上的灯光流动起来时,城市就会马上变得生动起来。我所设计的彩灯控制电路是原理很简单的一种利用大学所学到的数电知识制成。只要了解几种集成电路便可轻松明白它的原理。做好的彩灯可以放在室内,主人会感到温馨;放在餐厅等地方可以增加氛围。 本文基于电子线路CAD常用软件Protel99SE设计了一个简单的循环彩灯电路,各路彩灯由发光二极管模拟代替。采用555定时器,计数器,移位器,产生控制循环信号,再利用74LF161A计数器和74LS194左右移位寄存器组成驱动电路来依次控制彩灯循环闪烁。循环彩灯电路由驱动电路、输出电路、时钟电路和电源等为整个系统工作提供所需的能源。CMOS 电路对电源电压的要求相对比较宽松。循环彩灯电路是由TTL集成电路做成,并且采用5伏电源供电。时钟电路是由555多谐自激震荡集成电路制成,与电阻和电容一起构成时钟周期发生器,为电路提供时钟信号,支持整个电路的工作。驱动电路是由74LF161A计数器和74LS194左右移位寄存器组成,用以驱动发光二极管正常工作,并且在时钟电路的控制下让八个发光二极管循环工作。 关键词:八路彩灯;555定时器;计数器;移位寄存器 The Design Of Eight Lines Circulating Lights Abstract: Lights flashing and the flow can be used for a variety of decoration, such as electronic door standard, advertising and decoration. The flow of light is always special to attract people's attention. At night, the streets in the city, when the flow of light on the billboards up, the city will soon get to life. I designed the lights control circuit is a very simple principle learned to use the University made several electrical knowledge. As long as several integrated circuits can easily understand its principles. Do the lights can be placed indoors, the owner will feel warm; on restaurants and other places to increase the atmosphere. Based on the electronic circuits commonly used CAD software Protel99SE designed a simple circuit lights cycle, and the brightest lights from the light-emitting diode simulations instead. Using 555 timers, counters, shifters, resulting in the control loop signal, and then use 74LF161A around the counter and 74LS194 shift registers control drive circuit to turn lights cycling. Cycle lights circuit by the drive circuit, output circuit, clock circuit and power supply for the entire system to provide the necessary energy. CMOS circuits the power supply voltage requirements are relatively relaxed. Cycle lights circuit is made by TTL integrated circuits, and the 5-volt power supply. Clock circuit is more than 555 integrated circuit made of harmonic self-excited oscillation, together with resistors and capacitors constitute the clock generator providing clock signals for the circuit to support the entire circuit. Drive circuit is 74LF161A counter and shift register 74LS194 about the composition, light-emitting diodes to drive to work, and under the control of the clock circuit so that the work cycle of eight light-emitting diodes. Key words:Eight lines circulating lights; 555 timer; Counter; Shift register

8路彩灯控制器设计详细讲解(附图)

8路彩灯控制器设计详细讲解(附图)学校:北华大学 班级:信息 11-2 姓名:王杰 学号:28号 指导教师:曲萍萍 实验日期:2013.5.20—5.24 一、软件内容 二、实习目的和任务三、设计原理过程四、最终设计方案五、实习心得 六、参考文献 一、软件内容 MAX-Plus?开发软件是美国Altera公司自行设计的第三代课编程逻辑器件的EDA开发工具。它是一种与器件结构无关的集成设计环境,提供了灵活和高效的界面,允许设计人员选择各种设计输入方法和工具,能够支持Altera公司的MAX、Classic、FLEX以及ACEX系列的PLD器件。MAX-Plus?开发软件丰富的图形界面和完整的、可即时访问的在线帮助文档,使设计人员能够轻松愉快地学习和掌握MAX-Plus?开发软件,方便地实现设计目的。 MAX-Plus?的特点:1、支持多种操作平台;2、提公开发性的界面;3、提供与PLD 器件结构无关的设计环境;4、提供丰富设计库;5、支持多种输入方式;6、具有高度集成化的开发环境;7、具有模块化的设计工具;8、提供Megacore功能;9、具有Opencore特性

MAX-Plus?的主要功能:1、支持PLD器件;2、支持的设计输入方式;3、提供设计编译;4、提供设计验证;5、提供器件的编程和配置 MAX-Plus?的设计流程:1、设计输入;2、设计检查;3、设计便衣;4、设计仿真;5、器件编程;6、测试验证;7、修改设计。二、实习目的和任务实习目的:1、进一步掌握数字电路课程所学的理论知识。 2、熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理, 进一步学会使用其进行电路设计。 3、了解数字系统设计的基本思想和方法,学会科学分析和解决问 题。 4、培养认真严谨的工作作风和实事求是的工作态度。 5、数点课程实验是大学中为我们提供的唯一一次动手实践的机会, 增强动手实践的能力。 实习任务:设计一个能实现8路彩灯循环显示的彩灯控制器。具体要 求如下:1、8路彩灯的循环花型如下表所示;2、节拍变 化的时间为0.5s和0.25s,两种节拍交替运行;3、三种 花型要求自动循环显示 移存器输出状态编码表 节拍序号花型1 花型2 花型3 1 00000000 00000000 00000000 2 10000000 00011000 10001000 3 11000000 00111100 11001100 4 11100000 01111110 11101110 5 11110000 11111111 11111111 6 11111000 11100111 01110111 7 11111100 11000011 00110011 8 11111110 10000001 00010001 9 11111111 10 11111110 11 11111100 12 11111000 13 11110000 14 11100000

基于某PLC彩灯控制

1 引言 基于PLC控制的彩灯广告,就是运用PLC的逻辑控制功能对彩灯广告的运行按给定要求自动运行。 1.1 基于PLC彩灯广告控制器设计目的 主要是通过设计实践,了解一般电气控制系统设计过程、设计要求、应完成的工作容和具体设计方法。培养学生独立地解决实际问题的能力;掌握初步掌握PLC电气电路的设计方法及其编程方法和程序的调试; 1.2 基于PLC彩灯广告控制器设计容 1.2.1根据课程设计的目的和PLC的原理理清思路。 1.2.2 选取PLC型号,完成硬件设计。 1.2.3 画出流程图,时序图,及编好梯形图。 1.2.4 进行仿真及调试。 1.3 基于PLC彩灯广告控制器设计实现的目标 广告屏有8根彩灯管,从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2号→3号→...→7号→8号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。

2 系统总体方案设计 2.1 PLC 概述 2.1.1 PLC 的基本结构 PLC 主要由CPU 模块、输入/输出(I /O )模块、编程器和电源四大部分组成 (图2.1)。 2.1.2 PLC 的特点 (1)编程方法简单易学----梯形图语言(面向用户的高级语言) (2)硬件配套齐全,用户使用方便 (3)通用性强,适用性强 (4)可靠性高,抗干扰能力强 (5)系统的设计、安装、调试工量小 (6)维修工量小,维修方便 (7)体积小、重量轻、功耗小 2.1.3 PLC 应用领域 PLC 应用围不断扩大,价格下降,功能大大加强,其应用围有:

数电课程设计八路彩灯.

西安邮电学院 数字电路课程设计报告书 ——多路彩灯控制器 系部名称: 学生姓名: 专业名称: 班级: 实习时间:

多路彩灯控制器的设计 一、课程设计题目(与实习目的) (一)、题目:多路彩灯控制器 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求,设计一个8路移存型彩灯控制器,基本要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3. 实现快慢两种节拍的变换。 (2)课程设计的总体要求 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三总体方案的选择 (1)总体方案的设计 方案:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制;第三块实现时钟信号的产生。 主体框图如下: 花型控制电路:由1614位二进制同步计数器完成; 花型演示电路:由194 双向移位寄存器完成(可左移右移完成花型变化); 节拍控制电路:节拍变化由151八选一数据选择器完成,节拍的快慢变化可有74双上升沿D触发器完成,它可实现二分频。

彩灯循环控制电路

课程设计说明书 课程设计名称:电子技术课程设计 题目:彩灯循环控制电路 学生姓名:易秀花 专业:电气工程与自动化 学号: 312008********* 指导教师:胡兵 成绩

摘要:可编程的彩灯控制电路很多,构成方式和采用的集成片种类、。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,脉冲信号发生器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用555组成的多谐振荡器、寄存器、计数器和数据选择器等来实现,其特点是用发光二极管显示,能实现可预置编程循环功能。 关键字:彩灯,集成片,寄存器,计数器,555定时器组成的脉冲信号发生器Abstract: The programmable control circuit a lot of lights, constitute an integrated approach and use of film types. Most of the lights control circuit are realized with digital circuits, for example, the scale of integrated circuits used in the implementation of the lights control circuit mainly used for counter, decoder, pulse signal generator and shift register such integration.The design of the programmable control circuit is to use lanterns composed of multivibrator 555, registers, counters, and data selector, etc. to achieve, which is characterized with light-emitting diode display, able to function can be pre-programmed cycle. Keywords: lantern, integrated chip, registers, counters, consisting of 555 timer pulse generator

基于VHDL的八路彩灯控制器

目录 1.任务需求 (2) 2. 总体设计 (2) 2.1 各个花样的状态图 (2) 2.2总体框图 (4) 3. 模块设计 (4) 3.1分频器模块 (4) 3.2花样一模块 (5) 3.3花样二模块 (6) 3.4花样三模块 (8) 3.5顶层设计 (10) 4. 仿真图 (11) 4.1分频器仿真波形 (11) 4.2花样一仿真波形 (11) 4.3花样二仿真波形 (12) 4.4花样三仿真波形 (13) 4.5总体仿真波形 (13) 5.心得体会 (14) 6.参考文献 (15)

1.任务需求 现今生活中,市场上未能吸取顾客的注意,高出各式各样的方法,其中彩灯的装饰便是其中非常普遍的一种。使用彩灯即可起装饰宣传作用,又可以现场气氛,城市也因为众多的彩灯而变得灿烂辉煌。 VHDL语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛。在这个阶段,人们开始追求贯彻整个系统设计的自动化,可以从繁重的设计工作中彻底解脱出来,把精力集中在创造性的方案与概念构思上,从而可以提高设计效率,缩短产品的研制周期。整个过程通过EDA工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。 要求设计一个8路彩灯控制器,要求彩灯可以演示以下花型: (1)从两边向中间亮,再从中间向两边亮; (2)实现淡入淡出效果 (3)从左至右逐个亮,在从右到左逐个亮; 2. 总体设计 2.1 各个花样的状态图 当选择花样一时状态图如下: S0=”ZZZZZZZZ”S1="10000001" S2="01000010" S3="00100100" S4="00011000" S5="00100100" S6="01000010" CLR

8路彩灯控制器

8路彩灯控制器 一课程设计题目(与实习目的) (1)题目:多路彩灯控制器 (2)实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。二任务和要求 实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求 设计一个8路移存型彩灯控制器,要求: 1.彩灯实现快慢两种节拍的变换; 2.8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟; 4.选做:用EPROM实现8路彩灯控制器,要求同上面的三点。 (2)课程设计的总体要求 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示; 第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。 并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下:

八路彩灯控制程序

FPGA课程设计——8路彩灯控制程序

2013年12月12日 8路彩灯控制程序 一、设计任务 1.设计目的: (1)了解及掌握时序电路及组合电路的基本结构常用数字电路 (2)通过ModelSim软件编写组合电路与时序电路混合的程序进行仿真和调试。 (3)74LS194 移位寄存器和74LS161 计数器的使用。 2.设计内容: 编写一个8路彩灯控制程序,要求彩灯有以下3种演示花型。 (1)8路彩灯同时亮灭; (2)从左至右逐个亮(每次只有1路亮); (3)8路彩灯每次4路亮,4路灯灭,且灯灭相同,交替亮灭; 在演示过程中,只有当一种花型演示完毕才能转向其他演示花型。 二、设计方案论证 74LS161 是四位二进制同步加数器,74LS194 是一个4 位双向移位寄存器,它具有左移,右移,保持,清零等逻辑功能,八路彩灯控制器通过利用双向移位寄存器74LS194 的串行输入, 个并行输8 出端控制彩灯;双向移位寄存器74LS194 的控制端S1=0,S0=1 时,进行右移; S1=1,S0=0 时, 进行左移; 十六位计数器74LS161 可以从0000 到1111 进行计数. 利用十六位计数器74LS161 的功能实现自动循环. (1)8路彩灯同时亮灭: 11111111

00000000 11111111 (2)从左至右逐个亮(每次只有1路亮); 11111111 01111111 10111111 11011111 11101111 11110111 11111011 11111101 11111110 (3)8路彩灯每次4路亮,4路灯灭,且灯灭相同,交替亮灭11111111 00001111 11110000 三、结构及其工作原理 1.结构框图:

8路彩灯设计电路

一、概述 多功能流水灯因其具有高效节能、美观耐用等特点,而被广泛应用于节日、广告装饰,同时也为家居、庭院、休闲广场等装饰增添了不少色彩。要求运用所学的数字逻辑知识设计一个8路彩灯控制电路。 8路彩灯控制电路功能描述:首先点亮第一盏灯,在第一盏灯熄灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再去点亮第三盏灯,依次类推,直到点亮第八盏灯,看上去的效果就像亮点从第一盏灯依次流向第八盏灯,然后全部熄灭,反复循环这一过程。 理论部分已用Multisim软件进行仿真,完全符合要求。 二、方案论证 设计一个8路彩灯控制电路,利用8进制计数法器依次输出一个低电平信号,每个信号对应一盏彩灯,控制每盏灯依次点亮然后灭掉,以此循环,做成一组流水灯。方案一: 方案一原理框图如图1所示。 其中8进制计数电路是利用74LS161N十进制计数器改变而成,通过产生1000的输出信号的状态进行异步置零,完成8进制循环,由一片74LS138芯片进行译码,来输出信号控制彩灯。 图1 8路彩灯电路的原理框图 方案二: 基本实际思路不变,采用74LS160芯片,但是其输出信号由两片74LS138芯片进行控制,其中一片进行3-8进制译码,另一片控制循环。 本设计采用方案一,只用两片主要芯片74LS161和74LS138,节省资源。

三、电路设计 1.多谐振荡器电路 采用555定时器,输出一个周期的脉冲。由多谐振荡器的周期公式 T=(R1+2*R2)*Ln2*C可知。要求灯亮的时间为100ms-120ms,所以输出脉冲的周期也为100ms-120ms之间。本实验选取的6欧的R1,5欧的R2,10uF的电容,所以输出周期为111.7ms的脉冲。多谐振荡器电路图如图2。 图2 多谐振荡器示意图 对于多谐振荡器调试情况如图3。

8路彩灯控制器的设计

电子技术(下)课程设计任务书

目录 摘要 (1) 1 绪论 (2) 2 总体方案设计 (3) 2.1 振荡电路部分 (3) 2.2 数列循环部分 (3) 2.3 数列显示部分 (3) 3 单元模块设计 (4) 3.1 脉冲信号产生模块 (6) 3.2 快慢节拍控制电路模块 (7) 3.3 计数电路模块 (8) 3.4 花型控制电路模块 (9) 4 系统调试和功能 (36) 4.1 系统调试 (36) 4.2 功能显示 (37) 5 分析和总结 (43) 附录一:工具清单 (37) 附录二:元器件清单 (37) 附录三:主要元器件的引脚图和功能表 (37)

摘要 通过设计多路彩灯系统来加深对主要器件的理解与应用。本实验主要由振荡电路产生秒脉冲信号,经分频电路、计数电路、花型控制和显示电路后,由发光二极管模拟输出。通过系统的设计、元件的选取、参数的选取等,来加深对各主要器件引脚分布、功能等的理解,从而提高电子设计能力的整体水平。 关键词:振荡电路;脉冲信号;分频电路;计数电路;花环电路;彩灯; Abstract Through the design of many changing lights to deepen our understanding and application of many main parts of integrated circuit. This experiment produce seconds pulse signal mainly by the oscillating circuit .And through the points frequency circuit, count circuit, control and display circuit patterns to output by the lights. Through the design of the system, the selection, the parameters of the component selection, etc, to deepen our understanding of the main device pins distribution and function, so as to improve the overall level of electronic design ability. Key words:Oscillating circuit; The pulse signal; Points frequency circuit; Counts circuit; Garland circuit; Lights;

相关文档
相关文档 最新文档