文档库 最新最全的文档下载
当前位置:文档库 › 拔河游戏机课程设计报告

拔河游戏机课程设计报告

拔河游戏机课程设计报告
拔河游戏机课程设计报告

- 1 - / 19

西北工业大学

课程设计报告

题目:简易拔河游戏机

学院 : 航海学院

班级 : 03040902

学生(学号): 2009300833

学生(姓名): 梁清

学生(学号): 2009300845

学生(姓名): 史伊朝

日期: 2012 年 1 月 12日

摘要

我们设计的是一个用于模拟拔河游戏的电路,它是通过有限的实验器材来完成的。其中有两个按钮式开关,分别代表参与比赛的两组选手,用按键的快慢代表各方选手使劲儿大小,另外还有七个发光二极管,用点亮的发光二极管的移动代表绳子的移动。当绳子移动到最后一个时,即一方胜出时,锁定按键的同时胜出的一方对应的数码管显示的计数值加1,直到复位键按下之后方可进行下一局比赛。当有一方达到了获胜的条件,即获胜的局数为预置数的次数时,比赛结束,锁定计数结果,锁定选手按键,同时数码管闪烁显示,表示比赛结束的同时为胜利者喝彩。直到数码管计数值清零,复位键按下之后,方可进行下一场比赛(一场比赛可以有好多局)。

关键词:拔河、游戏机、闪烁、锁定按键、计数电路

目录

1.课程设计目的?????????????????????????4

2.设计任务与要求????????????????????????4

3.方案设计与论证????????????????????????5

4.单元电路设计与参数计算????????????????9

5.电路的安装与调试??????????????????????16

6.遇到问题的解决方法????????????????????17

7.结论与心得???????????????????????????18

8.参考文献?????????????????????????????18

题目:简易拔河游戏机

一、课程设计目的

电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用.对于我们大学生,课程设计是一个重要的实践环节,它包括选择课题、电子电路设计、组装、调试和总结报告等实践内容.通过课程设计实现目标如下:

1)巩固和加深所学电子技术课程的基本知识,提高综合运用所学知识的能

力;

2)培养学生根据课题需要选用参考书、查阅手册、图表和文献资料的能力,提高学生独立解决工程实际问题的能力

3)通过设计方案的分析比较、设计计算、元件选绎及电路安装调试等环节.初步掌握单实用电路的工程设计方法.

4)提高学生的动手能力.掌握常用仪器设备的正确使用方法,学会对简单实用电路的实验调试和对整机指标的测试方法,

5)了解与课题有关的电路以及元器件的工程技术规范,能按课程设计任务书的要求编写设计说明书,能正确反映设计和实验成果,能正确绘制电路固等.

二、设计任务与要求

一)任务:设计可用于两人进行拔河游戏的电路

二)设计要求:

1)用排成一排的七个LED灯表示绳子;

2)用2个按键,分别为参赛的两名选手;

3)通过按动按键的快慢表示选手拔河力量的大小;

4)任何一方得胜后立即封锁按键,同时获胜方胜利局数记录值加1,直到复

位按键按下后方可继续进行下一局比赛;

5) 可预置N 局M 胜制;显示方式:2个一位十进制数显示; 6) 全场比赛结束后封锁按键,然后LED 灯移动闪烁。 三、方案设计与论证

总体设计思路:要用排成一排的七个LED 灯代表绳子,则七个LED 灯中要有一个与其他的六个不一样,用点亮来表示该灯,则LED 灯应与74LS138三——八译码器的输出相连。

绳子移动,即LED 灯的移动点亮问题,可以看出三——八译码器输入接的是一个计数器。

双方拔河时,以按键的快慢来使灯的点亮左右移动,可以看出一方的按键进行加法计数,一方的按键进行减法计数。

结束时,LED 灯的闪烁,需要一个计数器接入74LS138的输入端,计数器的cp 信号有555定时器构成的多谐振荡器提供。

74LS138有两路输入信号,因此需要进行选择,所以还需要一个2选1数据选择器来选择究竟输出哪一路信号。 方案一:

电路的主体部分,当选手按键时,其中一个选手的按键进行加法计数,另一个选手的按键进行减法计数,七个LED 灯分别接的74LS138的3Y 、2Y 、1Y 、0Y 、

7Y 、6Y 、5Y 。

两个选手控制的计数器的输出,与比赛结束后控制的计数器的输出,通过2选1数据选择器74LS157输出到74LS138的数据输入端口。

74LS138的输出端3Y 、5Y 通过非门之后分别连到用于控制记录选手获胜局数的计数器74LS161的cp 脉冲输入端口。

3Y 、5Y 再连到选手所控制的计数器的CT 端,当一局比赛结束之后,封锁计数

器,及封锁选手按键,直到复位按键按下后方可进行下一局比赛。

进行获胜局数统计的两个74LS161的输出分别接到全加器74LS283的输入端的A 组端口、B 组端口。

74LS283的输出端接比较器74LS85的其中一组输入端口,与另一组输入端口

的输入数值进行比较,当两组端口输入相等时,当他们之和为预制比赛局数时,封锁控制端口,同时选择74LS157的另外一路输入信号,即LED 灯闪烁显示。 方案二:

电路的主体部分,当选手按键时,他们所控制的计数器都进行加法计数,其中一个计数值的高三位直接接到74LS283全加器的一组输入端口的低三位,高位接0,另一个计数值的高三位求反后接到74LS283全加器的另一组输入端口的低三位,高位接1,进位输入端口接1。即用一个选手的计数值家另一个选手的计数值的补码。七个LED 灯分别接的是74LS138的3Y 、2Y 、1Y 、0Y 、7Y 、6Y 、5Y 通过非门之后的输出。

两个选手控制的计数器的输出,与比赛结束后控制的计数器的输出,通过2选1数据选择器74LS157输出到74LS138的数据输入端口。

74LS138的输出端3Y 、5Y 通过非门之后分别连到用于控制记录选手获胜局数的计数器74LS161的cp 脉冲输入端口。

3Y 、5Y 再连到选手所控制的计数器的CT 端,当一局比赛结束之后,封锁计数

器,及封锁选手按键,直到复位按键按下后方可进行下一局比赛。

进行获胜局数统计的两个74LS161的输出分别接到两个比较强74LS85的输入端的其中一组端口,当有一个选手的获胜局数与预置数相等时就停止比赛,封锁输入端口,LED 灯闪烁显示。

分析:因为要求即能进行加法计数,用能进行减法计数时,需要用到可逆计数器,考虑到这个电路比较复杂,同时又因为进行N 局M 胜制的过程中,方案一中的全场比赛结束的控制电路要求就算其中一名选手已获胜M 局还是必须打满N 局,才能结束比赛。而方案二中在比赛一方获胜M 局之后就会结束所有比赛。 经过以上分析决定选择方案二。

电路主体部分的结构示意图:

四、单元电路设计与参数计算

1)电路原理图

2

3765

1

数据的比较

当A=2,B=1(相当于7),则有A-B= 1,→用补码表示=1 当A=2,B=2(相当于6),则有A-B= 0,→用补码表示=0 当A=2,B=3(相当于5),则有A-B= -1,→用补码表示=7

当A=1,B=2(相当于6),则有A-B= -1,→用补码表示=7 当A=2,B=2(相当于6),则有A-B= 0,→用补码表示=0 当A=3,B=2(相当于6),则有A-B= 1,→用补码表示=1

求取2个数的差值时,只能使用补码计算 当0010-0001=0010+(1111)补码 =0001 当0010-0010=0010+(1110)补码 =0000 当0010-0011=0010+(1101)补码 =1111 当0001-0010=0010+(1110)补码 =1111 当0010-0010=0010+(1110)补码 =0000 当0011-0010=0010+(1110)补码 =0001 舍去最高位,得到所需结果,见电路

比较、求差值、求补码、译码和显示电路如下:

1

2

3

7

6

5

B

+5V

2)55定时器构成的多谐振荡器

0.1μ

3)55构成的用于消除抖动的单稳触发器

4)路的主体部分

注意:开关A和B分别接的是单稳触发器。 5)获胜局数计数及结束控制电路

74LS32N 6)总体电路图

五、电路的安装与调试

首先领取电路所需的元器件,按照电路图所示连接电路,我们是分工合作,但又能够保证每个人都能够参与连接,所以我们是一个人连线的时候,另一个人负责提供导线并认真检查连接好的导线。但所有导线和元器件连接好之后,还是没有成功,之后我们进行电路的分块检查。

首先检查数码管的显示问题,当给它们对应的数器接上cp脉冲的时候,他们都可以正常显示。

接下来检查当比赛结束时,用于封锁电路的输出是否正确,经过检查发现显示不正确,仔细检查了它的每个管脚之后,原来是有个衔接差了,改过之后连接正确了。

然后就是检查另一半电路的问题了,经过连接cp脉冲的检查发现拔河功能能够正常实现。

各电路的调试:

1.静态调试:

(1).消除抖动电路调试:用示波器检测电路的输出,拨动开关测试输出是否符合逻辑0,1的电压标准.

(2).比较,求差,求补码电路:通过开关与计数电路,将A与B输入至比较,求差,求补码电路,查看结果.

(3).译码显示电路:输入几组不同的信号,通过LED灯的不同变化判断电路是否达标.

(4).获取局数计数及结束控制电路:给电路接入脉冲信号,输出接入示波器观察是否正常显示.

(5).选择电路:给选择器接入不同的两路待选信号,固定预置数改变待比较数值,检测选择器输出是否符合标准.

(6).控制电路:输出连到显示器,检测由0变到8是否为10秒.输出连到显示器,检测显示数值和频率是否和预期相符.

2.动态调试:

将各个部分电路组装成整体电路,然后进行多次调试,并与试验任务相对比. 六、遇到问题的解决方法

在实际实验的过程中,我们发现和仿真还是有些区别的,首先,仿真反应时间比较慢,所有在电路的周期控制上需要改变,要适当加大一些电阻、电容的值。

仿真中74LS161是下降沿计数,实际中的是上升沿计数,因此需要让cp通过非门之后再与某些74LS161的cp输入端相连。

在连接好电路之后发现电路工作很不稳定,于是我们断定是因为导线与芯片的接触问题,之后我们检查导线并重新连接之后,问题便得到了解决。

七、结论与心得

在认真的学习了数字电路这门基础专业课后,我们对数字电路有了初步的了解,掌握了基本物理概念,电路的工作原理和分析、设计方法,并且在努力完成了整个学期的数字电路实验课后,有了一定的动手设计电路并实际操作的能力。但是此次实验要求设计的相对复杂,所以我们从一开始就认真准备。刚拿到题目我们就开始查资料,了解所需要的芯片及其各个管脚的连接,慢慢地完成实验要求,实现整个电路.在通过与同学们讨论之后,我们逐步掌握了整个设计思路并成功完成了电路mutism的仿真。

我们在按照实验要求领取到所有器材元件之后便开始了连接电路,由于我们一开始并没有仔细规划整个电路板的设计,因此走了一些弯路,致使连线太过复杂难看,所以我们明白了在做实验之前,必须能够对每个元器件进行合理的布局。在布局完芯片之后我们开始按照电路图连接导线,连接了一些导线之后因为休息了一下之后,我们突然想不起来连到哪里了,之得重新检查连接,之后我们吸取教训从第一个芯片的上半部分开始一直从左到右,从上到下,一步一步有条不紊的进行,就这样一鼓作气,我们将所有需要的导线连接好之后,当我们信心满满的以为就要大功告成的时候,在调试之后却发现根本没有我们想象的那么顺利,实验没有成功,我们经过考虑之后,初步断定是因为导线和芯片直接因为没有紧密连接而导致的接触不良,随后经过再次的检查之后,纠正了一些错误,最终经过调试,成功完成了实验。

在经过本次数字电路课程设计之后,我们不对所学的理论知识有了更深的巩固,更好的掌握了狮子电路及其基本脉冲电路的原理和分析方法,逐步提高了自己分析电路,设计电路的能力。

同时在设计并实现电路的过程中我们逐步建立起对于数字电路这门课程的兴趣,这对于我们以后在此方面的学习和研究是大有裨益的。同时考验了我们借助互联网络搜集、查阅相关文献资料,和组织材料的综合能力。我们深刻地感受到

理论知识的重要性,学好课本知识是完成实验的前提条件,而实践同样重要,我们需要在学好理论的基础上多多动手,多积累些实践经验。

另外,因为实验的复杂我们都是以两人小组为单位完成的,通过实验我们明白了合作的重要性,而且在合作时一定要耐心,并且善于听取合作伙伴的建议。

八、参考文献

[1] 岳怡主编的数字电路与数字电子技术,西北工业大学出版社

[2] 余道衡,徐承和. 电子电路手册[M]. 北京:北京大学出版社,1996

[3] 李毅、谢松云、王安丽、曾渊编的数字电子技术实验,西北工业大学出版社,2007

[4] 阎石主编数字电子技术基础,高等教育出版社,1998

[5] 陈良主编电子工程师常用手册,中国电力出版社,2010

附件:总原理图及元器件清单

1.总原理图

2.元件清单

拔河游戏机的设计与制作报告

《数字电子技术》课程设计报告拔河游戏机设计与制作 设计要求: 拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个发亮, 以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产 生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到 任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保 持,只有经复位后才使亮点恢复到中心线。 设计人:夏凯强学 号: 7 专业:计算机班 级: 09<1> 班 成绩:评阅人: 安徽科技学院理学院 拔河游戏机的设计与制作 拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 一、设计要求 (一)设计指标 (1)初始9个发光二极管排列成一行,开机后只有中间一个点亮; (2)游戏双方各持有一个按键,按键按动一次,亮点有可能移动一次; (3)亮点移动的方向与按键快的一方一致; (4)当任一方终端二极管点亮时,这一方就得胜,再按键电路输出不变;

(5)按复位后,回到初始状态 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 1.拔河游戏机的构成 本次拔河游戏机的主要设计思路是让电平指示灯由中点向速度快的一方延伸,而阻止向速度慢的一方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向右方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点时就把电路锁定,此时双方按键均无作用,只有按了复位按键双方才能继续下一局的比赛,计数器就记录双方的获胜的次数,数码管显示胜者赢的盘数。 图1 拔河游戏机总体结构图 2.整形电路的设计 CC40193是可逆计数器,控制加减的CP脉冲分别加至和CP D和CP U,此时当电路要 求进行加法计数时,减法输入端CP D 必须接高电平;进行减法计数时,加法输入端CP U 也必须接高电平,若直接由A、B键产生的脉冲加到CP U 和CP D ,那么就有很多时机在 进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使从A、B按键出来的脉冲经整形后变为一个占空比很大的脉冲,这样就减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。整形电路由与门CC4081和与非门CC4011构成。 3.编码电路 编码器有二个输入端,四个输出端,要进行加 / 减计数,因此选用CC40193双时钟十进制同步加 / 减计数器来完成。其电路及连接方式如下: 4.整形电路 由与门CC4081和与非门CC4011构成整形电路。起初,由两片CC4011构成一RS 触发器,它有两个输入端R、S和两个输出端Q、Q。当R=1、S=0时,则Q=0,Q=1,触发器置1。当R=0、S=1时,则Q=1,Q=0,触发器置0,当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q有两种互补的稳定状态。S=0,R=1使触发器置1,或称置位。因置位的决定条件是S=0,故称S 端为置1端。R=0,S=1时,使触发器置0,或称复位。同理,称R端为置0端或复位端。经RS触发器 后再经与门和非门,以达到整形的目的。 因CC40193是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平,若直接由A、B键产生的脉冲加到5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使原先加的脉冲

电子拔河游戏机 EDA 实验

安徽财经大学 电子拔河比赛游戏机的设计 姓名:陈辉、胡安宁、郭真真、朱晓庆 学号:2010830044、2010830027、2010830018、2010830043 学院:管理科学与工程学院 专业:电子信息工程 指导老师:于帅珍 设计时间:2013年5月

目录 一、设计任务以及要求--------------------------------------- 4 二、总体框图-------------------------------------- --------------4 三、选择器件---------------------------------------- ------------5 四、功能模块-------------------------------- --------------------10 五、总体设计-------------------------------------- --------------16

一、?设计任务以及要求 1. 设计一个模拟拔河游戏机比赛的逻辑电路。 2. 电路使用15个电平指示灯排成一排,开机后只有中间一个点亮,以此作 为拔河的中心线。 3. 比赛双方各持一个按键,迅速不断的按动产生脉冲,谁按得快,亮点向谁 方向移动。每按一次,亮点移动一次。 4.移动到任何一方终端指示灯点亮,这一方得胜,此时双方按键均无作用,输出保持,只有经裁判按动复位后,恢复到中心线。 5.显示器显示胜者的盘数。 二、总体框图 1. 设计方案: (1)本课题所设计的拔河游戏机由15电平指示灯排列成一行,开机 之后只有中间一个电平指示灯亮,以此作为拔河的中心线。可逆计数器原始状态为0000,经译码后输出中间的电平指示灯亮。游戏双方各持一个按键,迅速地、不断地按动产生脉冲信号,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加减脉冲无效。同时,使计分电路自动加分。当两人比赛结束后,裁判可以让计分显示器清零。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2. 电路原理图:

数字电子技术基础课程设计——拔河机计数器

数字电子技术基础课程设计——拔河机计数器

第1章引言 现今科学技术日新月异,信息是一个高度发展的产业,而数字技术是信息的基础,数字技术是目前发展最快的领域之一,数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视、雷达,通信等各个领域。开发数字电路来实现更多的功能,是我们学习数字技术的职责。现在人们越来越感觉到科技带来的实惠方便和娱乐。所以我们更应把所学知识应用到生活当中去,使它给我们添加更多的欢乐和方便。拔河比赛游戏机就是一个结构比较简单但综合性和趣味性的试验,就是设计一个由数字电路构成和显示的游戏机,甲乙双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端指示灯点亮,这一方就获胜。

第2章总体设计方案 2.1设计思路 (1)比赛开始时,由裁判下达命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 (2) 拔河游戏机由15个电平指示灯排列成一行,裁判下达“比赛开始”的命令后,只有中间一个电平指示灯亮,以此作为拔河的中心线,甲乙双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2.2 电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。最后,显示器显示胜者的盘数。 方案一 采用编码电路、整形电路、译码电路、控制电路、胜负显示各原理和电路图第三章中。 方案二 本课题,可以用两片74LS192代替74LS193,先将两片74LS192连接成100进制的可逆,然后将其改成十六进制的计数器。用一全加器将两片74LS192的输出信号八位转化成四位,再接给CC4514的输入端。 控制电路也可由异或门74LS86和与非门74LS00构成。将双方终端指示灯的正接至异或门的2个输入端,当获胜一方为“1”,而另一方则为“0”,异或门输出

电子拔河游戏机设计

电子技术课程设计说明书 课程名称:电子技术课程设计题目:电子拔河游戏机设计 课程设计任务书 一、设计题目 拔河游戏机 二、主要内容及要求 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光 二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 三、进度安排 1.认真思考和理解所选题目的有关要求,大致知道要求做什么。 2.根据实验的设计要求,到图书馆或上网查找相关的资料,了解拔河游戏 机的工作原理。 3.学习数字电路中触发器、计数器、译码显示器等单元电路的设计及综合 应用,掌握逻辑电路的设计与测试方法,等。 4.综合相关的资料,设计实验方案。 5.根据所设计方案,用仿真软件进行电路仿真。 6.根据要求撰写实验报告。 四、总评成绩

指导教师 学生签名 目录 一.设计任务分析 (1) 二.拔河游戏机的总体方案设计 (1) 2.1设计思路 (1) 2.2电路原理图 (1) 2.3电路工作原理 (3) 2.4各单元电路的设计 (3) 三.元器件的使用 (6) 四.总结 (7) 4.1实验评价 (7) 4.2心得体会 (8) 参考文献 (8) 附录 (8)

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由15个电平指示灯排列成一行,开 机之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方 各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方 向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一 方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使 亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动

拔河游戏机设计报告

数字逻辑综合性实验设计报告 课程名称数字逻辑实验 题目名称电子拔河游戏机 班级20120615 学号2012061518 学生姓名孙晓行 同组班级20120615 同组学号2012061517 同组姓名孙静 指导教师武俊鹏、孟昭林、刘书勇、赵国冬 页脚内容- 0 -

2014年06 月 页脚内容- 1 -

摘要 电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的“电子绳”。游戏双方各拥有一个比赛时使用的单脉冲按钮,参与者按动一次按钮就产生一个脉冲,谁按的频率快产生的脉冲就多,由发光的LED灯的左右偏移模拟拔河过程,LED灯的偏移方向和位移由比赛双方所给出的脉冲数实时决定,该功能需要用计数电路通过加减计数来实现。当移动到某方的最后一个LED灯时,则该方获胜,连续比赛多局以定胜负。 此次设计的电路,主要分为四部分:控制电路部分;计数电路部分;电子绳电路部分;计分器电路部分。 其中控制电路部分主要由2个JK触发器和一个锁存器构成;计数电路主要由2个74LS192组成;电子绳电路由3个74LS138译码器,17个74LS04和17盏灯组成;计分器电路由两个74LS161计数器构成。 关键词:“拔河”;开关;脉冲;LED灯;左右偏移;计分电路 页脚内容- 2 -

目录 1 需求分析 ......................................................................................................................................... - 6 - 1.1 基本功能要求 ....................................................................................................................... - 6 - 1.2 创新拓展功能....................................................................................................................... - 6 - 1.3 设计原理 .............................................................................................................................. - 7 - 2 系统设计......................................................................................................................................... - 8 - 2.1 系统逻辑结构设计 ............................................................................................................... - 8 - 页脚内容- 3 -

电子电工毕业设计论文拔河游戏机

数字电路课程设计报告设计课题:拔河游戏机 专业班级:20111461班光信专业 学生姓名: 学号: 学生姓名: 学号: 指导老师: 设计时间:2014年6月8日

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由9个电平指示灯排列成一行,开机 之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各 持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向 移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方 就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮 点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2.2 电路原理图: 图一,图二分别为拔河游戏机的电路框图、整机逻辑图。

2.3 电路工作原理: 可逆计数器CC40193原始状态输出4位二进制数0000,经译码器输出 点亮。当按动A、B两个按键时,分别产生两使中间的一只电平指示灯Q 个脉冲信号,经整形后分别加到可逆计数器上,可逆计数器输出的代码经译码器译码后驱动电平指示灯点亮并产生位移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。如按动复位键,亮点又回到中点位置,比赛又可重新开始。

数电电子拔河游戏机设计

一、实验名称:拔河游戏机设计 二、实验目的: 为了理论结合实际的电子基础知识,验证,巩固和消化电子技术基本知识,综合应用数字电子技术解决生产第一线的实际问题,提高基本的专业动手能力,进一步培养分析问题和解决问题的能力,以后更好的为社会服务。 三、实验任务: 设计主要容及要求: 1、设计一个模拟拔河游戏比赛的逻辑电路。 2、电路使用9个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3、比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4、亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 5、用七段数码管显示双方的获胜盘数。 四、实验设计思路 基本要求如下: (1)比赛开始时,由裁判(K)下达命令后,甲(A)乙(B)双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 (2)“电子绳”到少由9个LED管构成,裁判下达“开始比赛”的命令后,位于“电子绳”中点的LED点亮。甲乙双方通过按键输入信号,使发亮的LED管向自己一方移动,并阻止其向对方延伸。当从中点至自己一方终点的LED管全部点亮时,表示比赛结束。这时,电路自锁,保持当前状态不变,除非由裁判使电路复位。 五、总体设计方案: 1、电路设计原理 拔河游戏机需用15个(或9个)发光二极管排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任

电子拔河游戏机

1.设计目的 加强学生对数字电子技术专业知识的理解和掌握,训练并提高其在理论计算、电路设计、资料文献查阅、运用相关标准与规范、电路仿真等方面的能力;为毕业设计(论文)奠定良好的基础。 2.设计任务 2.1设计指标 此次设计的电子拔河游戏机是模拟拔河比赛的电子游戏机,比赛开始的时候,只有当裁判下达指令时双方才可以输入信号,电路具有自己锁定的功能,在未下达命令前,电路不能开始运行。比赛开始后,双方通过控制按键进行比赛,使亮色的LED灯管移向自己的那一方。哪一方的速度按键越快,那么哪一方就会占优势,直到发亮的LED灯管移到自己的终点那一端,即为获胜方,此时电路自动锁定,双方按键无效,显示管显示比赛的结果数字。若循环比赛,则依次循环以上过程。 2.2设计要求 (1)拔河游戏机用9个电平指示灯排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线。(2)游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。 (3)移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 (4)最后,显示器显示胜者的盘数。 3.电子拔河游戏机的组成和工作原理 3.1电子拔河游戏机的构成 本次设计中共包含六个分支,分别是:整形电路,编码电路,译码电路,控制电路,胜负显示电路以及复位电路构成。 3.2原理分析 比赛两人通过开关产生脉冲,经过整形电路后接74LS192同步十进制可逆计数器,经74LS192同步二进制可逆计数器对信号进行加减后接译码电路,译码电路译码后显示到电平显示器上。再把胜负电路接到两头最边的电平显示灯上,胜负电路收到胜负信号后显示胜负次数。当比赛结束后由复位控制对显示胜负装置和电平显示灯回复到初始状态。这样就达到了设计目的和要求。 (1)整形电路:由与门74LS08和与非门74LS00构成。CP脉冲加到5脚和4脚控制加和减的,当加法计数时,减法输入端CPD接高电平;减法计数时,加法输入端CPU接高电平,但如果Q、W键产生的脉冲直接加上去,很多情况下在进行计数输入时另一计数输入端为低电平,计数器不能计数,双方按键均失去作

课程设计报告之电子拔河游戏机

题目电子拔河游戏机设计系(部) 信息工程系 专业电子信息工程 班级电信091 学生姓名刘文刚 学号090819325 6 月13 日至 6 月18 日共 1 周 指导教师 系主任签字 2011年6月18日

成绩评定表

目录 一、设计任务以及要求--------------------------------------- 4 二、总体框图----------------------------------------------------4 三、选择器件----------------------------------------------------5 四、功能模块----------------------------------------------------10 五、总体设计----------------------------------------------------16 六、实验感言----------------------------------------------------17

一、设计任务以及要求 1. 设计一个模拟拔河游戏机比赛的逻辑电路。 2. 电路使用15个电平指示灯排成一排,开机后只有中间一个点亮,以此作 为拔河的中心线。 3. 比赛双方各持一个按键,迅速不断的按动产生脉冲,谁按得快,亮点向 谁方向移动。每按一次,亮点移动一次。 4. 移动到任何一方终端指示灯点亮,这一方得胜,此时双方按键均无作用, 输出保持,只有经裁判按动复位后,恢复到中心线。 5. 显示器显示胜者的盘数。 二、总体框图 1. 设计方案: (1)本课题所设计的拔河游戏机由15电平指示灯排列成一行,开机之 后只有中间一个电平指示灯亮,以此作为拔河的中心线。可逆计数器原始状态为0000,经译码后输出中间的电平指示灯亮。游戏双方各持一个按键,迅速地、不断地按动产生脉冲信号,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加减脉冲无效。同时,使计分电路自动加分。当两人比赛结束后,裁判可以让计分显示器清零。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2. 电路原理图: 图一为拔河游戏机的电路框图

拔河游戏机课程设计报告

- 1 - / 19 西北工业大学 课程设计报告 题目:简易拔河游戏机 学院 : 航海学院 班级 : 03040902 学生(学号): 2009300833 学生(姓名): 梁清 学生(学号): 2009300845 学生(姓名): 史伊朝 日期: 2012 年 1 月 12日

摘要 我们设计的是一个用于模拟拔河游戏的电路,它是通过有限的实验器材来完成的。其中有两个按钮式开关,分别代表参与比赛的两组选手,用按键的快慢代表各方选手使劲儿大小,另外还有七个发光二极管,用点亮的发光二极管的移动代表绳子的移动。当绳子移动到最后一个时,即一方胜出时,锁定按键的同时胜出的一方对应的数码管显示的计数值加1,直到复位键按下之后方可进行下一局比赛。当有一方达到了获胜的条件,即获胜的局数为预置数的次数时,比赛结束,锁定计数结果,锁定选手按键,同时数码管闪烁显示,表示比赛结束的同时为胜利者喝彩。直到数码管计数值清零,复位键按下之后,方可进行下一场比赛(一场比赛可以有好多局)。 关键词:拔河、游戏机、闪烁、锁定按键、计数电路

目录 1.课程设计目的?????????????????????????4 2.设计任务与要求????????????????????????4 3.方案设计与论证????????????????????????5 4.单元电路设计与参数计算????????????????9 5.电路的安装与调试??????????????????????16 6.遇到问题的解决方法????????????????????17 7.结论与心得???????????????????????????18 8.参考文献?????????????????????????????18

拔河游戏数字电路设计

一、实验目的: 1.学习数字电路中基本D触发器、计数、译码显示等单元电路的综合应用。 2.熟悉拔河游戏机的工作原理。 3.复习数字电路中D触发器、4线—16线译码器、计数器、译码显示器等部 分内容。 4.分析拔河游戏机组成、各部分功能及工作原理。查出各芯片引脚排列及功 能。 二、实验器件: 1个CC4514 4线—16线译码器 1个74LS191 双向加减计数器 2个74LS160 十进制加法计数器 3个与门、2个或门、2个非门 2个D触发器 三、设计任务与要求: (1)设计思路 拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 用七段数码管显示胜者取胜的盘数。 (2)任务与要求: 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3.比赛双方各持一个按钮,快速不断地按动按钮产生脉冲,谁按得快,发光的二极管 4.就向谁的方向移动,每按一次,发光二极管移动一位。 5.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都 应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 6.用七段数码管显示双方的获胜盘数。 7.根据设计要求合理选择方案。

四、总体设计方案: (1)设计思路 1.设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。当向计数器输入“加脉冲” 时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2.当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3.控制电路部分应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。 (2)电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。最后,显示器显示胜者的盘数。 图1电子拔河游戏机原理框图

电子拔河游戏机设计全文

数字逻辑与数字系统课程 设计报告 设计题目:拔河游戏机 专业班级:计算机科学与技术08-2班 学生:程杨杨20082567 同组学生:郑恒2008 指导教师:

拔河游戏机 摘要:本实验使我们进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力 本课程设计的内容就是采用74LS00、74LS193、4线-16线译码器CC4514、74LS02、CC 4518设计的一个电子拔河游戏机,该游戏机具有整形、 计数、译码、控制、复位等功能,设计原理简单易懂,所设计的游戏机的游戏规 则和真的拔河比赛规则相类似。 目录 一.设计任务与要求 (1) 1.设计任务 (1) 2.设计要求 (1) 二.总体设计方案 (1) 1.设计思路 (1) 2.电路设计原理 (1) 3.两个方案的对比 (6) 4. 实验方案论证 (6) 5. 实验目的 (6) 6. 实验器件 (7) 三.单元电路设计与参数计算 (7) 1. 整形电路 (7) 2. 计数电路 (7) 3. 译码电路 (8) 4. 胜负显示电路 (9) 四.总原理图及元器件清单 (11) 1. 总原理图 (11) 2. 说明 (14) 3. 元器件清单 (14) 五.结论与心得 (15)

六.参考文献 (16) 一.设计任务与要求 设计思路 给定实验设备和主要元器件按照电路设计的各部分组成一个完整的拔河游戏机。 1.拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的 中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮 点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方 就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 2.用七段数码管显示胜者取胜的盘数。 任务与要求: 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 6.根据设计要求合理选择方案。 二、总体设计方案: 2.1、设计思路 1.该设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。当向计数器输入“加脉冲”时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2.当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3.控制电路部分应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。 2.2、电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这

课程设计——拔河游戏机

课程设计任务书 题目拔河游戏控制电路 专业学号姓名 主要内容、基本要求、主要参考资料等: 主要内容 * 1.阅读相关科技文献。 2.学习protel软件的使用。 3.学会整理和总结设计文档报告。 4.学习如何查找器件手册及相关参数。 技术要求 1.< 2.要求电路使用9个发光二极管显示拔河过程,开机后只有中间一个发光二极管发光,即为拔河 中心; 3.游戏双方各持一个按钮,按钮每按下一次,亮点向本方移动一次,拔河过程中有且只有一个发 光二极管发光; 4.亮点移动到任意一段的终端二极管时,此方获胜,并且此时双方按钮均无作用,输出状态保持, 复位后亮点回到中心点。 主要参考资料 1.何小艇,电子系统设计,浙江大学出版社,2001年6月; ( 2.姚福安,电子电路设计与实践,山东科学技术出版社,2001年10月; 3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月;

4.李银华,电子线路设计指导,北京航空航天大学出版社,2005年6月;5.康华光,电子技术基础,高教出版社,2003。 } 完成期限: 2011年6月28日 指导教师签章: 专业负责人签章: 2011年6月27日

摘要 》 本课题的主要任务是让拔河游戏控制电路的电平指示灯由中点向己方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向延伸。当延伸到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛。 此电路可分为脉冲发生器电路和计数/译码器电路两大部分。脉冲发生器电路部分采用两个与非门组成的基本RS触发器构成的去抖电路以及有与门、与非门构成的整形电路,可以将按钮A、B产生的脉冲整形成占空比较高的信号。计数器电路部分以74LS/HC193为主体,译码器采用由集成芯片74HC138扩展的4线-16线译码器。芯片74LS/HC193根据UP端和DOWN端的状态来判断进行加计数还是减计数,然后将计数结果输出到由74HC138构成的译码器,译码器将结果输出到发光二极管。由于74HC138输出端为低电平,所以当双方终端二极管对应的输出端有一个为低时即表示游戏结束,双方按键失效。此功能实现可将74LS193的输出置数至输入端,使译码器的输出保持,将双方的端二极管对应的输出进行与运算,如果有一个为低则输出为低,再接低电平有效的置数端,实现游戏结束时按键无作用。 关键字:去抖电路 74LS138 反馈置数 &

拔河游戏机课程设计报告

西南民族大学 电气信息工程学院 数字电路课程设计报告 设计课题:拔河游戏机 专业班级:电子信息工程 学号: 小组成员: 指导教师: 设计时间:2013.04-2013.05

电信学院数字电子技术课程设计 任务书 设计名称:拔河游戏机 一、课程设计目的 1.掌握四数计数器CC4518,CC4514的原理及使用,显示电路原理。 2.熟悉与门、与非门、异或门的使用。 3.掌握实验电路的工作原理。 4.培养独立分析故障及排除故障的能力。 二、设计任务与要求 (1)设计一个能进行拔河游戏的电路。 (2)电路使用9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。(3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 (4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 (5)用数码管显示获胜者的盘数。

摘要与关键字 摘要:电子拔河游戏机电路可分为脉冲发生器电路和计数/译码器电路两大部分。脉冲发生器电路部分采用两个与非门组成的基本RS触发器,经整形后产生脉冲信号。计数/译码器电路部分以CC40193为主体,译码器采用集成芯片CC4514。计数器根据脉冲输入发生变化,CC4514的输出随之发生相应的变化,当脉冲信号移动至Q7或Q9时,将CC4514的输出端锁存。经调试,整机功能效果等各项性能指标均达到本实验要求。 关键词: 脉冲发生器计数/译码器CC4514 脉冲信号 1总方案设计 1.1设计思路 1、拔河游戏机需要使用9个发光的二极管排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断按动产生脉冲,谁按得快亮点向谁的方向移动,每按一次亮点移动一次。移动到任意一方的终端二极管点亮,这一方就取得了胜利。此时双方的按键均不起作用,输

电子拔河游戏机 实验报告

电子技术综合实验 设计报告 设计题目:电子拔河游戏机 专业班级: 学生: 学号: 指导教师:

一、设计任务 电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的电子绳。由甲、乙二人通过按钮开关使发光的LED管向自己一方的终点移动,当亮点移到任何一方的终点时,则该方获胜,连续比赛多局以定胜负。 二、设计要求 1)由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 2)电子绳由17个LED管构成,裁判下达比赛开始命令后,位于电子绳中点的LED管发亮。甲、乙二人通过按钮开关使发光的管向自己一方的终点移动,并阻止其向对方延伸。当点亮的LED管到达某一方的终点时,该方获胜。此时通过自锁功能锁定电路,使输入不再有效,必须由裁判再次发出比赛开始命令时方能开始下一次比赛。 3)某方赢一次,有计分电路自动给该方加1分,通过多次比赛以定胜负。 三、总体方案设计 本方案中,有效输入信号代表的是拔河的力度的相对大小。则当A=1,B=0时,表示甲的力度比乙的力度大;当B=1,A=0时,表示乙的力度比甲的力度大;当A=1,且B=1时,表示甲的力度与乙的力度一样大;当A=0且B=0时,表示甲、乙均还未开始拔河。 当裁判员下达比赛开始命令后,比赛开始,甲、乙中的任意一方输入有效信号后,计数器开始计数。若甲输入有消信号时,进行加计数;若乙输入有效信号时,进行减计数。电子绳的LED开始移动;当甲、乙同时输入有效信号时,电子绳上点亮的LED灯不再移动。 当一局比赛结束后,电子绳上某一头的LED保持亮,此时,甲、乙的输入信号不再有效,计分电路此时记录并显示甲、乙总共的比赛成绩。当裁判下达下一局比赛开始的命令后,比赛才能开始,甲、乙输入信号才有效。 基本实验原理图如下:

数字电子技术课程设计报告 电子拔河游戏机

数字电子技术课程设计报告 班级:信息0611 ;姓名:何海强;学号40550311 设计题目:电子拔河游戏机 同组成员:史立光、田劲、王萌、路长发;组长:何海强 功能描述: 1、整体描述:电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电 路。由一排16个LED发光二极管表示拔河的“电子绳”。由甲乙双方通过按钮开关使发光的LED管向自己一方的终点延伸,当延伸到某方的最后一LED管时,则该方获胜,并对获胜次数进行计数,连续比赛多局以定胜负。用键盘上的A键和Z键表示开关按钮。 用键盘上的S键赖代替清零信号,每次比赛前都要进行清零,并使按钮开关复位。 2、比赛开始,由裁判下达比赛命令后(,用空格键代表裁判信号,摁一下空格键),甲乙 双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。裁判信号由键盘空格键来控制。 3、“电子绳”由16个LED管构成,裁判下达“开始比赛”的命令后,摁一下空格键,位于 “电子绳”中点的LED发亮。甲乙双方通过按键输入信号,用键盘上的数字键A键Z 键来模拟,摁一下A向左移动,摁一下Z键向右移动。使发亮的LED管向自己一方移动,并阻止其向对方延伸,谁摁得快就向这一方移动。当从中点至自己一方的最后一个LED管发亮时,表示比赛结束,这时,电路自锁,保持当前状态不变,除非由裁判使电路复位,并对获胜的一方计数器自动加一。 4、记分电路用两位七段数码管分别对双方得分进行累计,在每次比赛结束时电路自动加 分。 5、双方得分计数器的清零信号由键盘上的数字键2,3键来实现。当比赛结束时,计分器清零,为下一次比赛做好准备。 方案设计: 1.总体设计思路(含电路原理框图): 电路的原理框图如下图所示:

拔河游戏机课程设计实验报告

课程设计说明书 课程名称:数字电子技术课程设计题目:拔河游戏机 班级: 姓名: 学号: 同组人:

设计任务书 一、设计题目 拔河游戏机 二、主要内容及要求 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 三、进度安排 1.认真思考和理解所选题目的有关要求,大致知道要求做什么。 2.根据实验的设计要求,到图书馆或上网查找相关的资料,了解拔河游戏机的工作原理。 3.学习数字电路中触发器、计数器、译码显示器等单元电路的设计及综合应用,掌握逻辑电路的设计与测试方法,等。 4.综合相关的资料,设计实验方案。 5.根据所设计方案,用仿真软件进行电路仿真。 6.根据要求撰写实验报告。 设计过程 一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由15个电平指示灯排列成一行,开机之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。

电子电工毕业设计论文拔河游戏机

数字电路课程设计报告 设计课题: 拔河游戏机 专业班级:20111461班光信专业 学生姓名:学号: 学生姓名:学号: 指导老师: 设计时间:2014年6月8日

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/ 减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1) 本课题所设计的拔河游戏机由9 个电平指示灯排列成一行,开机之后 只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作 用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2) 当一局比赛结束后, 由点亮该终点灯的信号使电路封锁加减脉冲信号的 作用. 即实现电路自锁, 使加家减脉冲无效。同时,使计分电路自动加分。 (3) 控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲 的输入端,其进入方向则由参赛双方的按键信号决定。2.2 电路原理图: 图一,图二分别为拔河游戏机的电路框图、整机逻辑图。

+5V 图二 2. 3 电路工作原理: 可逆计数器CC40193原始状态输出4位二进制数0000,经译码器输出 使中间的一只电平指示灯Q 0点亮。当按动A 、B 两个按键时,分别产生两 个脉冲信号,经整形后分别加到可逆计数器上,可逆计数器输出的代码经 译码器译码后驱动电平指示灯点亮并产生位移, 当亮点移到任何一方终端 后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。 如按动复位键,亮点又回到中点位置,比赛又可重新开始。 将双方终端指示灯的正端分别经两个与非门后接到 2个十进制计数器 CC4518的使能端EN 当任一方取胜,该方终端指示灯点亮,产生 1个下 選错开关 rp.- D ;. Di D I D J 亡ft 「 74LS193 _ 匚畔 Qd 0 Q : Qg LD H L & =1 INH LE V D CC4S14 N P2 E c Q;Q1Q:Q 丄 + 5X P j ”

相关文档
相关文档 最新文档