文档库 最新最全的文档下载
当前位置:文档库 › 正弦信号发生器

正弦信号发生器

正弦信号发生器
正弦信号发生器

河北科技学院

学生毕业设计(论文)

题目:正弦信号发生器

作者:褚霄雯

学号:091231014

系别:机电工程系

专业:机电一体化

年级:2 0 0 9 级

指导老师:张江亚

完成日期:2011 年 05 月 26 日

正弦信号发生器

摘要

本系统基于直接数字频率合成(DDS)的原理,采用专用DDS芯片AD9851,制作了

一个正弦信号发生器,实现了100Hz~13MHz范围内的正弦波输出。通过自动增益控制(AGC)和功率放大,在50Ω负载情况下,系统在100Hz~10MHz范围内输出正弦波电压

峰-峰值6V 0.3V,且幅度稳定。系统还可以产生幅度调制(AM)信号、频率调制(FM)信号、二进制移相键控(2PSK)信号和二进制振幅键控(2ASK)信号。另外,系统还扩展

了二进制移频键控(2FSK)、同步信号和扫频功能。

系统以单片机(AT89S52)作为控制核心,以大规模可编程逻辑器件(FPGA)作为数

据处理核心,将两者有机结合,充分发挥了两者的性能。采用数字信号处理技术,FM、2PSK、2ASK和2FSK均可以在FPGA内部完成,这样可使电路大为简化,不仅能够充分发挥大规模可编程逻辑器件的特点和优势,而且大大提高了系统的稳定性。

关键词:正弦信号发生器,DDS,AGC,调制

Abstract

The design is based on the principle of digital frequency synthesis(DDS),adopts the sole

DDS chip AD9851 and can realize the output of a sine wave, the frequency of which ranging from

100Hz to 13MHz.With a loading resistor of 50 and through automatic gain control and power amplifying, the system can output a sine wave ranging from 100Hz to 10MHz with a voltage

peak-to-peak value as high as 6V and a stable amplitude. Besides ,the system can generate

Amplitude Modulation(AM)signals, Frequency Modulation(FM) signals, Binary Phrase-Shift Keying (2PSK)signals and Binary Amplitude- Shift Keying (2ASK)signals. Moreover, the system possess expansed functions and can not only display 2FSK,Synchronizing signals but sweep

frequency.

The system takes the AT89S52 as its control core, the large scale programmable logical

devise FPGA as its digital processing core ,and conbines the two above

sufficiently to fully bring their performance into play .With the digital signal processing

technology,FM,2PSK,2ASK and 2FSK can all be accomplished inside the FPGA, so that the

circuit can be simplified greatly and not only the characteristics and advantages of the large scal pragrammable logical devices are exerted fully, but the stability of the system is improved greatly.

摘要 (1)

一、方案论证与选择 (4)

1、正弦信号的产生 (4)

2、幅度调整 (5)

(1)、自动增益控制(AGC) (5)

(2)、功率放大 (6)

3、FM 信号的产生 (6)

4、2PSK、2ASK 信号的产生 (7)

二、系统设计和理论分析 (8)

1、系统总体框图 (8)

2、AD9851 简介 (9)

3、低通滤波器的设计 (10)

4、调制的有关原理 (11)

(1)、模拟调制 (11)

(2)、数字调制 (12)

三、主要功能电路的设计 (14)

1、正弦信号产生部分 (14)

2、滤波及阻抗匹配部分 (14)

3、自动增益控制(AGC)部分 (15)

4、功率放大部分 (16)

5、幅度调制(AM)部分 (17)

6、FPGA 部分 (18)

(1)、自制DDS 信号源 (18)

(2)、调制部分 (19)

7、D/A 转换部分 (21)

8、扩展部分 (21)

(1)、2FSK (21)

(2)、扫频 (21)

(3)、同步信号 (21)

四、系统软件的设计 (21)

1、软件设计思想 (21)

2、软件完成的功能 (22)

3、软件流程图 (22)

4、键盘定义 (22)

五、系统抗干扰措施 (23)

1、电源抗干扰措施 (23)

2、接地技术 (23)

3、PCB 板布线抗干扰设计 (23)

4、双绞线和同轴线的合理使用 (23)

六、测试数据与分析 (23)

1、测量仪器及型号 (23)

2、测量方法 (24)

3、测量数据 (24)

(2)、AM信号测量 (24)

(3)、FM信号测量 (25)

(4)、2PSK、2ASK、2FSK信号测量 (25)

4、数据分析 (26)

七、总结分析与结论 (27)

参考书目 (27)

一、方案论证与选择

题目要求制作一个正弦信号发生器,输出频率1KHz~10MHz,且步进可调;带50Ω负载的情况下,输出电压幅度 ≥ 1V(基本要求)和6V ± 1V(发挥部分);能产生AM、FM、2PSK 和2ASK 信号。分析题目要求,我们将设计的重点放在正弦信号产生,幅度调整和信号的调制上。其中,满足题目要求的FM 信号的产生是本设计的难点。

1、正弦信号的产生

方案一:采用单片函数发生器,如MAX038。利用单片函数发生器配合外部分立元件

输出频率,通过调整外部元件可改变输出频率,但采用模拟器件由于元件离散性太大,发生器输出频率稳定度差、精度低、成本也高,而且灵活性较差。

方案二:采用数字锁相频率合成方案;数字锁相频率合成器的基本原理框图如图1-1

所示。

图1-1 锁相频率合成框图

通过改变程序分频器的分频比,则可改变压控振荡器的输出频率f

O

,从而获得大量可

供利用的频率稳定度等同于参考频率的频率点,这里输出频率f

O 只能以参考频率f

r

为步

长进行变化,不能得到连续的频率输出。为能得到较多的频率点,一是降低参考频率f

r

的值,但锁相环路本身特性决定,某点频率达到稳定所需的时间为参考频率对应周期的25

倍,f

r

的降低会增加稳定所需的时间。二是增加程序分频器的分频系数,但分频系数太大

时电路上难以实现。

方案三:直接数字频率合成(DDS)方案;DDS的基本原理框图如图1-2所示。

图1-2 DDS 原理框图

其主要组成为:相位累加器、波形存储器、D/A转换器和低通。基本工作原理是:在参

考时钟信号的控制下,通过由频率控制字K控制的相位累加器输出相位码,将存储于波形

存储器中的波形量化采样数据值按一定的规律读出,经D/A转换和低通滤波后输出正弦信

号。

具体实现过程是每当输入一个时钟脉冲,相位累加器的输出就增加一个步长的相位增量值,在波形存贮器中存储着一张函数查询表,对应不同的相位码输出不同的幅度编码。频率控制字K决定相应的相位步进量。根据相位累加器的输出对波形存储器进行寻址,使波形存储

器输出相应的幅度编码,然后再经过数模转换、滤波器滤波,就能得到所需要的频率信号。

DDS可以产生高精度与高纯度的频率信号,它的优点是:理论上只要累加器的位数足够多,可以实现任意小的频率步进。频率分辨率很高,离散输出已十分接近连续变化。对相

位累加器预置累加初值可以很方便地实现精密相位调节。

对于DDS 方案,我们有两种选择:(1)、自制DDS 信号源;用大规模可编程逻辑器件(FPGA)可以灵活的生成DDS 信号源。但是,信号输出频率受到D/A 转换器件的速度限制(我们手头

最高速的D/A 转换器为DAC0800,100ns),不能做到10MHz 的频率输出,所以不能采用这种方法。(2)、采用专用DDS 芯片;根据题目要求,结合性价比,我们选用AD9851。AD9851是AD公司采用先进的DDS技术生产的具有高级集成度的DDS器件,它的最高工作时钟为180MHz,正常输出工作频率范围为0~72MHz,精度可达0.04Hz,它还具有调频和调相功能,通过适当控制,完全可以满足题目要求。所以我们采用AD9851。

对于题目发挥部分(3)中要求的1KHz 正弦调制信号和(4)中要求的100KHz 的正弦信号,用FPGA 生成又是可行的,而且是必要的。通过FPGA 内部生成1KHz 的正弦信号控制

AD9851

的频率控制字,可以方便有效地产生频率调制(FM)信号;在FPGA 内部产生100KHz 的固定频率载波,就可以完全在FPGA 内部实现2PSK 和2ASK,这样比用AD9851 实现容易得多。

2、幅度调整

AD9851 输出的电压峰-峰值仅为250mV 左右,远不能满足题目要求。为了保证在频带范围内接50Ω负载时,正弦信号输出电压峰-峰值6V 1V (发挥部分要求),系统还应该有稳幅和功率放大功能。其中,稳幅可以通过自动增益控制(AGC)实现。

(1)、自动增益控制(AGC)

方案一:采用场效应管和宽带运

放制作;实现框图如图1-3 所示。

场效应管工作在可变电阻区,

输出信号取自电阻与场效应管对V

的分压。采用场效应管作AGC控制

可以达到很高的频率和很低的噪

声,但温度等漂移将会引起分压比

的变化,输出幅度稳定性差。

方案二:采用可变增益放大器图1-3 场效应管和运放组成的AGC AD603 作为放大部分,同时用由高频小功率管2M3906、2M3904 构成的峰值检波、反馈电路来控制放大倍数,达到自动调整增益的目的。实现框图如图1-4 所示。

AD603为单通道、低噪声、增益变化范围线性连续可调的可控增益放大器,它能提供

由直流到30MHz以上的工作带宽,实际工作时可提供超过20dB的增益。其内部由R-2R梯形电阻网络和固定增益放大器构成,加在其梯形网络输入端的信号经衰减后,由固定增益放

大器输出,衰减量由加在增益控制接口的

参考电压决定,控制这个参考电压就可以

精确地控制衰减量。该方案精度高,波形

好,幅值稳定。

鉴于以上分析,本系统采用方案二。

(2)、功率放大

由于AD603 的输出电压较小(最大图1-4 AD603 组成的AGC 有效值2V 左右),不能满足题目要求,必须加放大电路,提供电压增益。

方案一:采用集成电路芯片实现;使用集成电路芯片电路简单,使用方便,性能稳定,

而且芯片有详细的资料说明,各方面参数可以很清楚的掌握。但是题目要求在1KHz~10MHz 的频带范围内幅值达到6V,很难找到满足要求的集成芯片,所以我们没有采用这种方案。

方案二:采用分立元件自行搭建;使用分立元件设计困难,调试烦琐。但是电路元件可

以根据实际要求自主设计最合适的参数,如果需要,可以随时更换,所以比集成电路灵活得多。所以我们结合上面两种方案自行设计了功放电路。

3、FM 信号的产生

方案一:采用压控振荡器MAX038 实现;MAX038 工作频率范围0.1Hz~20MHz,其内部主要由压控振荡器,参考电压源,恒流源发生器,多路选择开关,比较器,相位监测器,输出

缓冲器等部分组成,芯片内部框图如图1-5 所示。

图1-5 MAX038 内部结构图

MAX038 的基本振荡原理是通过恒流源对电容 Cf 周期性的充放电,由此就可以产生一个

三角波和一个矩形波。充放电电流大小主要由

IIN 端输入电流控制,但受到 FADJ 和 DADJ 端 电压影响。流入 IIN 引脚的电流可以在 2uA 至 750uA 之间变化,由此引起的频率变化在 20 倍 左右。由此作为频率粗调时用。FADJ 引脚的电 压可以在 ±2.4V 之间变动,由此可以产生偏移 中心频率 ±70% 左右的变动,起到频率微调的 作用。内部一参考电压源产生稳定的 2.5V 电 压,所以只需改变电阻 Rf ,Rd ,Rin 的大小,

就可以获得所需频率和占空比的波形。 当 FADJ =0 时,输出频率可由下式给出:

f (o M Hz ) = IIN (μ A )/ C f ( pF )

其中, IIN = V REF / R in

一旦中心频率由 IIN ,Cf 设定之后,精确 的频率可以通过调整 FADJ 引脚的电压来实现。 MAX038 的输出频率和Cf 电容与 IIN 端的电流 间的关系如图 1-6 所示。对于题目要求的

100KHz ~10MHz ,固定 Cf 值为 33pF,当 IIN 端 图 1-6 fo 和 Cf 与 IIN 间关系图 的电流从 3 μ A到 400 μ A变化时,对应产生的频率范围正好是 100KHz ~10MHz 。用 1KHz 的 正弦信号控制 IIN 端的电流,就可以实现频率调制。精确控制调制信号的电压,就可以满足

频偏的要求。

我们最初就是采用这种方法调频,试验发现,5 脚和 6 脚之间接 33pF 的电容,输出频

率很难做到 100KHz ~10MHz 。而且,MAX038 输出的正弦波有明显失真,用 1KHz 的正弦信号

调制以后,效果很差,无法满足题目的要求。

方案二:通过单片机控制载波信号的频率控制字,自制 DDS 控制频偏,二者同时控制 AD9851 的频率控制字,就可以实现频率调制(FM )。合理控制调制信号的频率和幅度,AD9851 就可

以输出满足要求的 FM 信号。实现框图如图 1-7 所示。

图 1-7 调频调制实现框图

该方案用数字方法实现了模拟频率调制信号的输出,达到了题目要求,而且简单易行。所以

我们采用了这种方案。

4、 2PSK 、2ASK 信号的产生

方案一:采用模拟方法实现;通常,2ASK 、2PSK 信号的产生方法有两种,实现框图如

图所示,图1-8(a)是一般的模拟调制方法,图1-8(b)是键控法。

图1-8模拟调制方法

该方法需要采用大量的模拟器件,调试、控制都很困难。

方案二:采用数字方法实现;该方案借助模拟方法的思想,完全在FPGA 内部实现调制控制,控制方便,而且效果明显。具体的实现方法是:(1)、2ASK;用自行产生的二进制基带信号和载波信号相乘,相乘的结果经D/A 转换后就是2ASK 信号。(2)、2PSK;用二进制基

带信号控制载波的相位,当二进制基带信号的上升沿(下降沿)到来时,载波反相,其他时间相位不变,得到的输出经D/A 转换后就是2PSK 信号。

鉴于以上分析,我们采用方案二。

二、系统设计和理论分析

1、系统总体框图

系统总体框图如图2-1所示。通过FPGA控制AD9851的频率控制字来控制正弦信号的产生。信号经滤波、自动增益控制(AGC)和功率放大后就可以得到题目要求的输出;

自制DDS信号源作为调制信号、AD9851输出作为载波信号控制模拟乘法器,实现了幅度调制;通过单片机控制载波信号的频率控制字,自制DDS 控制频偏,二者同时控制AD9851 的频率控制字,实现了频率调制;通过键盘输入二进制基带信号,经并串转换后在100KHz 的固定频率载波进行二进制键控,实现了2ASK、2PSK 和2FSK。

图2-1系统总体框图

2、AD9851 简介

AD9851的内部有高速、高性能的10bitD/A转换器和高速比较器,可作为全数字编程控制的频率合成器和时钟发生器。外接精密参考频率源时,AD9851可以产生一个频谱纯净,

频率和相位都可以编程控制的而且稳定度非常高的模拟正弦波。这个正弦波可以直接作为信

号源,或通过其内部的高速比较器转换成为方波输出,作为灵敏的时钟产生器。其系统功能

原理框图如图2-2所示。

图2-2 AD9851原理框图

如果相位累加器的位数为N,相位控制字的值为 F

N

,频率控制字的位数为M,频率控

制字的值为F

M ,内部工作时钟F

C

为180MHz,此时最终合成信号的频率和相位可由下式

来决定:

F = F

M F

C

/ 2N

θ = 2π F

N

/ 2M

AD9851的最高工作时钟为180MHz,内部除了完整的高速DDS外,还集成了时钟 6 倍频器和一个高速比较器。集成的时钟6倍频降低了外部参考时钟频率,仅需一个30MHz

晶振即可,因此减小了高频辐射,提高了系统的电磁兼容能力;实际电路中,外部晶振为

25MHz,6 倍频后得到150MHz 的时钟信号。

AD9851 DDS系统采用32bit相位累加器及10bitDAC,在70MHz模拟输出时,DAC输

o

出的抑制寄生动态范围SFDR>43dB, 5bits相位控制可实现最小11.5的相位改变。频率控制

和相位调节可采取并行或串行方式。

AD9851主要特性有:

(1)单电源工作(+2.7~+5.25V);

(2)工作温度范围-45~85℃;

(3)低功耗,在180MHz系统时钟下,功率为555mW。电源设置有休眠状态,在该状态下,

功率为4mW;

(4)接口简单,可用8位并行口或串行口直接输入频率、相位控制数据;

(5)内含6倍参考时钟倍乘器,可避免对外部高速参考时钟振荡器的需要,减小了由于外部

频率源过高而可能产生的相位噪声;

(6)频带宽,正常输出工作频率范围为0~72MHz;

(7)频率分辨率高,其创新式高速DDS码可接受32位调频字,使得它在180MHz系统时

钟下输出频率的精度可达0.04Hz;

(8)相位可调,可接收来自单片机的5位相位控制字。

3、低通滤波器的设计

AD9851正弦信号的输出存在谐波,我们设计了一个低通滤波器,用于抑制谐波干扰。

有源滤波器由于受到运放的带宽限制,难以满足系统频带内滤波的要求,这里采用无源滤波

器。考虑到椭圆函数滤波器比全极点型滤波器(如:巴特沃兹(Butterworth)滤波器、切贝

雪夫(Cheby-shev)滤波器等)能做到对理想低通的最佳近似,且在同等技术指标下所需阶

数最低,因而电路实现起来也比其他类型滤波器容易。故采用椭圆函数滤波器。

题目要求正弦波输出频率范围1KHz~10MHz,为了保留一定的扩展余地,滤波器截止

频率设计为20MHz。为了使带内尽量平坦,带外衰减足够快,同时考虑到设计的复杂程度,

阶数取为7阶。

图2-3是用归一化图表(《电子滤波器设计手册》(电子工业出版社))设计的椭圆函数低通滤波器原理图。

图2-3 归一化椭圆滤波器

4、 调制的有关原理

调制在通信系统中具有重要的作用。通过调制,不仅可以进行频率搬移,把调制信号的 频率搬移到所希望的位置上,从而将调制信号转换成适合于信道传输或便于信道多路复用的 已调制信号,而且它对系统的传输有效性和传输可靠性有着很大的影响。调制方式往往决定 了一个通信系统的性能。 ( 1)、模拟调制 1)、幅度调制(AM )

幅度调制就是使载波信号的幅度随调制信号的变化规律而变化的一种调制方式。幅度调 制按其不同频谱结构分为普通调幅(AM ),抑制载波的双边带调制(DSB ),抑制载波的单 边带调制(SSB )等。其中普通调幅是基础的,其它振幅调制信号都是由它演变而来的。题 目要求的就是普通调幅(AM )。

设载波信号的表达式为 v c (t ) = V cm cos w c t ,调制信号的表达式为 v Ω (t ) = V cos Ωt ,

实际控制调幅度Ma 时,保持载波信号幅度不变,控制调制信号的幅度,就可以实现Ma 在10%~100%之间调节。

2)、频率调制(FM )

频率调制(FM )就是使载波信号的频率按调制信号规律变化的一种调制方式。载波信号 和调制信号的假设同AM 。则调频信号的表达式为

t

v (o t ) = V cm cos[w c t + k f ? v t )dt +? ]

= V cm cos(w c t + M f sin Ωt +? 0)

( 2)、数字调制

数字调制与模拟调制相比,其原理并没有什么区别。不过模拟调制是对载波信号的参量 进行连续调制;而数字调制是用载波信号的某些离散状态来表征所传送的信息。

1)、二进制振幅键控(2ASK )

设信息源发出的是由二进制符号0、1组成的序列,且假定0符号出现的概率为P ,1

符号出现的频率为1-P ,它们彼此独立。那么,一个二进制的振幅键控信号可以表示成一个 单极性矩形脉冲序列与一个正弦型载波的相乘。

2)、二进制移相键控(2PSK )

二进制移相键控(2PSK )方式是受键控的载波相位按基带脉冲而改变的一种数字调制方 式。信息源的假设同 2ASK 。

3)、二进制移频键控(2FSK )

信息源的有关特性同2ASK 的假设,那么,2FSK 信号便是0符号对应于载频

w 1 ,而1符号

对应于载频 w 2 的已调波形,而且 w 1 与 w 2 之间的改变是瞬间完成的。一个二进制的移频键

三、主要功能电路的设计

1、正弦信号产生部分

AD9851 的可编程功能主要是通过对内部的5 个输入数据寄存器写入40 位的控制字来实现的。控制字的写入有并行和串行两种方式,并行方式是通过数据总线D0~D7 来完成的。

而串行方式是把D7 上的数据按位串行移入到输入寄存器。两种方式都是通过W-CLK 引脚接入的控制字写时钟来触发写入的。当控制字写完后,在FQ-UD 信号的上升沿的作用下,控制字被写入频率相位数据寄存器,更新DDS 的输出频率和相位。我们采用并行方式写控制字。

AD9851 具体电路如图3-1 所示。该电路做成了PCB 板,电路设计时,十分注意电源和地线的连接,有效地减小了干扰,提高了输出信号的质量。

图3-1 AD9851 电路图

2、滤波及阻抗匹配部分

具体电路如图3-2 所示。为了得到干净的正弦信号输出,需要对AD9851 的输出信号滤波。无源滤波器对阻抗匹配要求很严格,匹配的程度直接关系到滤波的效果。同时,输入信

号幅度太低,滤波效果也会受到影响。所以我们专门设计了阻抗匹配部分,既对滤波器进行

阻抗匹配,又对信号进行放大。输入阻抗匹配采用同相放大器,因为同相放大器具有输入阻

抗高,输出阻抗几乎为零的特点,这样可以起到一定的隔离作用。放大倍数通过电位器RP1

调节,太低会影响滤波效果,太高又会影响带宽,实际为 4 倍左右。R3 取200Ω,满足了输

入阻抗的要求;输出阻抗匹配采用反相放大器,因为反相放大器的输入阻抗就等于R4,可

以很方便地做到阻抗匹配。放大倍数调节为 5 倍左右。C8、C9 起隔直的作用。

图3-2滤波部分电路图

3、自动增益控制(AGC)部分

AD603有三种不同的工作方式:(1)、5脚和7脚断开;通频带为9MHz,增益GAIN=40*Vg+30,增益变化范围为10~50dB。(2)、5脚和7脚短接;通频带为90MHz,GAIN=40*Vg+10,增益变化范围为-10~30dB。(3)、5脚和7脚接一电阻;通频带为30MHz,GAIN=40*Vg+20,增益变化范围为0~40dB。

电路如图3-3所示。电路采用双电源供电。工作方式采用方式(2),该方式具有负增

益和最宽的频带。

图3-3 AD603电路图

电路增益由①脚和②脚

间的电压差Vg控制,二者之

间的关系为:①脚接固定参

考电压0.5V,②脚电压由后

级峰值检测电路提供。

2N3906和几个外围电阻组

成一个I

=300uA左右的恒

Q1

流源,2N3904作半波检测。

流入电容C2的电流Ic就是

Q1和Q2集电极电流之差。

当输出信号幅度较小时,Q2

减小,Ic=

集电极电流I

Q2

I -I增大,反馈电压增大,

Q1 Q2

AD603①②脚间电压差增

大,电路增益提高。当输出图3-4 AD603频率-增益特性图

增大,Ic=I -I减小,反馈电压减小,电路增益也随信号幅度增大时,Q2集电极电流I

Q2

之降低,如此反复,最终电路将进入到稳定状态,输出信号幅度恒定。

电路输出信号幅度由R4、R5确定,为了使电路的频带达到较大值,我们设计时降低了

信号输出幅度。经测试该电路信号无明显失真通带范围为:50Hz~13MHz;输出信号有效

值为500mV;信号在6MHz以下输出幅度平坦,到10MHz时信号有效值上扬30mV,到15MHz 时信号有效值上扬60mV。这是由AD603的频率响应特性(如图3-4所示)决定的。输入

信号峰峰值在400mV~7V时输出幅度很稳定。

4、功率放大部分

该部分采用集成运放与晶体管构成OCL功率放大电路实现。具体电路如图3-5所示。

图3-5功率放大电路图

题目要求信号输出最高频率为 10MHz ,所以选择三级管特征频率 f T >100MHz 。50 Ω 负

2

载功耗 P = 3 /50 = 180mW 。甲类功放效率太低,很难满足题目要求。乙类功放效率虽高,

但容易产生交越失真。所以我们采用甲乙类。为了使电路工作在比较安全的状态,选择最大

功耗 Pc>500mW 的功放管。

该电路中,运放为驱动级,晶体管组成互补对称电路。电压增益主要集中在运放上,为

了保证电路具有较高的带宽和较大的输出幅度,我们选用 AD811, AD811 是 AD 公司生产的

宽带电流反馈视频运算放大器。增益 G=+1 的时候,-3dB 的带宽 140MHz ;压摆率为 2500V/ μs 。它的输入阻抗为 1.5M Ω ,输出阻抗为 11 Ω 。功率对管选用 2SD667、2SB647,

其β〉80, Tf > 100MHz ,Pc>500mW 。

电路工作在甲乙类状态,选择两个导通电压相等(约为 500mV )的二极管 1N4001 与一

个精密电位器来调整推动对管的微导通状态。R1、R2、Ra2、D1、D2 组成基极偏置电路,

R3、R4 用于减小对管的穿透电流,提高电路的稳定性。调节 Ra1 可改变功放的负反馈深度,

功放的电压增益 A V = 1+ Ra 1/ R 6 。调节电位器 Ra2 可以调节工作点。

实际电路中,AD811 放大倍数不能太大,否则芯片存在一定程度的发热,但是放大倍

数太小又不能满足输出电压幅度要求,所以我们在这部分电路之前,AGC 之后,加了一级

预放大。放大电路采用反相放大电路,放大器选用 AD811,具体电路略。

5、 幅度调制(AM )部分

模拟乘法器MC1496构成的AM 电路如图3-6所示。其中,载波信号 v c (由AD9851产生)

经高频耦合电容 C 10 从10脚输入,C 7,C 9 为高频旁路电容,使8脚交流接地;调制信号 v Ω(由 自制DDS 产生)经低频耦合电容 C 11从1脚输入,C 13 为低频旁路电容,使4脚交流接地。调幅

信号 v o 从12脚单端输出。芯片采用双电源供电方式,所以5脚的偏置电阻 R 14 接地。调幅信

号输出前,经过了一个三极管构成的共集放大器,起到了电压跟随器的作用。

图3-6 MC1496 调幅电路图

6、FPGA 部分

我们以QuartusII5.0 为设计环境,用Verilog HDL 硬件描述语言编程,完成个功能模块

的设计,并对设计好的各个模块进行仿真测试,再将各个模块相互连接。分配好FPGA 的各个

引脚后,对文件编译并将生成文件下载到FPGA 中,完成设计。

(1)、自制DDS 信号源

我们直接将波形量化后的数据固化到FPGA 内部的存储器中,存储1K(1024)个波形点对应的幅度数据,同时由可编程逻辑器件(FPGA)产生的地址译码器进行寻址,产生的数

据送入D/A 转换器(DAC0800)和I/V 转换器(LF356)中,从而得到所需的正弦波信号。

为了提高输出信号的相位分辨率,我们使用了100MHz 的参考频率,该频率通过锁相环对外部时钟(时钟频率为40MHz)倍频(5/2 倍)得到。同AD9851 的时钟系统一样,这样也能减小了高频辐射,提高了系统的电磁兼容能力。相位累加器位数为64位,查找ROM正

弦表时截取高10位,正弦信号输出设为8位。FPGA内部顶层映射原理图如图3-7所示。

图3-7 DDS 部分FPGA内部顶层映射原理图

FPGA 内部有4 种调制需要控制:AM,FM,2ASK,2PSK。

2)、调制部分

1)、2ASK,2PSK 和AM 实现

FPGA 内部顶层映射原理图如图3-8 所示。clock 为时钟频率控制模块,用来控制串并

转换模块(bitgenerator)的转换速度,从而得到固定的码元速率(10kbps)。通过单片机

的P1.0 和P1.1 控制ask 和psk 模块的选通,就可以实现三种调制方式间的切换。P1.0 为1,P1.1为0时,选通psk模块,同时通过自制DDS控制bus control模块中的data[datasize-1,0]

置全1(输出满幅),系统实现2PSK 功能。P1.0 为0,P1.1 为 1 时,选通ask 模块,同时控制bus control 模块中的data[datasize-1,0]置全1,系统实现ASK 功能。P1.0 和P1.1 全

为 1 时,ask 模块和psk 模块都不选通,通过自制DDS 控制bus control 模块的输出,就可

以实现AM 功能。

ask 具体实现过程:从键盘任意输入8bit 二进制信号,通过并串转换,得到串行序列

码。转换时钟频率就是基带序列码速率。将该序列码与100KHz 载波(DDS 产生)序列相乘,

相乘结果送入D/A 转换,得到2ASK 信号。

psk 具体实现过程:从键盘任意输入8bit 二进制信号,串并转换后得到串行序列码。

用该序列码来控制100KHz 载波的相位,当码元在1、0 之间跳变时,将载波相位发生180°

翻转,码元保持不变时,载波相位不变。将得到的结果送入D/A 转换,得到2pSK 信号。

AM 具体实现过程:自制DDS 产生1KHz 的正弦信号,通过bus control 模块控制该信号

的幅度系数,得到幅度可控的正弦信号,经D/A转换后送入MC1496的调制信号输入端,AD9851

产生的正弦信号送入MC1496 的载波信号输入端,调制后就可以得到AM 信号。

图3-8 2ASK,2PSK和AM FPGA内部顶层映射原理图

2)、FM 实现

FPGA 内部顶层映射原理图如图3-9 所示。通过自制DDS 产生1KHz 的正弦信号序列送

入FM 模块,同时,通过单片机控制总线输入模块(bus control)输出FM 的中心频率,也

送入FM 模块。FM 模块将二者相加,用相加结果控制AD9851 的频率控制字,就可以使AD9851 产生FM 信号。通过单片机的P1.3 口来控制FM 模块的divide 使能端,选择5K/10K 两挡频偏,从而实现程控调节。

图3-9 FM FPGA内部顶层映射原理图

正弦信号发生器的设计

XXXX大学现代科技学院DSP硬件电路设计基础课程设计 设计名称正弦信号发生器的设计 专业班级 学号 姓名DENG 指导教师XXXX

课程设计任务书 注: 上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 日期:2014-12-10

专业班级 XXXXXXX 学号 姓名 DENG 成绩 设计题目 正弦波信号发生器 设计目的 学会使用CCS(Code Composer Studio)集成开发环境软件,在此集成开发环境下完成工程项目创建,程序编写,编译,链接,调试以及数据的分析。同时完成一个正弦波信号发生器的程序的编写,并在集成开发环境下进行模拟运行,观察结果。 设计内容 编写一个产生正弦波信号的程序,在CCS 软件下进行模拟运行,观察输出结果。 设计原理 正弦波信号发生器已被广泛地应用于通信、仪器仪表和工业控制等领域的信号处理系统中。通常有两种方法可以产生正弦波,分别为查表法和泰勒级数展开法。查表法是通过查表的方式来实现正弦波,主要用于对精度要求不很高的场合。泰勒级数展开法是根据泰勒展开式进行计算来实现正弦信号,它能精确地计算出一个角度的正弦和余弦值,且只需要较小的存储空间。本次课程设计只要使用泰勒级数展开法来实现正弦波信号。 1. 产生正弦波的算法 在高等数学中,正弦函数和余弦函数可以展开成泰勒级数,其表达式为 若要计算一个角度x 的正弦和余弦值,可取泰勒级数的前5项进行近似计算。 ……………………………………装………………………………………订…………………………………………线………………………………………

由上述两个式子可以推导出递推公式,即 sin(nx)=2cos(x)sin[(n-1)x]-sin[(n-2)x] cos(nx)=2cos(x)sin[(n-1)x]-cos[(n-2)x] 由递推公式可以看出,在计算正弦和余弦值时,不仅需要已知cos(x),而且还需要sin[(n-1)x]、sin[(n-2)x]和cos[(n-2)x]。 2. 正弦波的实现 ⑴计算一个角度的正弦值 利用泰勒级数的展开式,可计算一个角度x的正弦值,并采用子程序的调用方式。在调用前先在数据存储器d_xs单元中存放x的弧度值,计算结果存放在d_sinx单元中。 ⑵计算一个角度的余弦值 利用余弦函数展开的泰勒级数的前五项计算一个角度的余弦值,可采用子程序的调用方式来实现。调用前先将x弧度值放在数据存储器d_xc单元中,计算结果存放在d_cosx单元中。 ⑶正弦波的实现 利用计算一个角度的正弦值和余弦值程序可实现正弦波。其实现步骤如下:第一步:利用sin_start和cos_start 子程序,计算 45°~0°(间隔为 0.5°)的正弦和余弦值; 第二步:利用sin(2x)=2sin(x)cos(x)公式,计算 90°~0°的正弦值(间隔为1°);第三步:通过复制,获得359°~0°的正弦值; 第四步:将359°~0°的正弦值重复从PA口输出,便可得到正弦波。 在实际应用中,正弦波是通过D/A口输出的。选择每个正弦周期中的样点数、改变每个样点之间的延迟,就能够产生不同频率的波形,也可以利用软件改变波形的幅度以及起始相位。 总体方案设计 1. 总体实现方案 我们知道一个角度为x的正弦和余弦函数,都可以展开为泰勒级数,且其前五项可以看为:

方波-三角波-正弦波函数信号发生器

课程设计说明书 课程设计名称:电子课程设计 课程设计题目:设计制作一个产生方波-三角波-正弦波函数转换器学院名称:信息工程学院 专业:电子信息科学与技术班级: xxxxxxxx 学号: xxxxxxx 姓名: xxxxx 评分:教师: xxxxxx 20 13 年 10 月 15 日

电子课程设计 课程设计任务书 20 13 -20 14 学年 第 1 学期 第 1 周- 3 周 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要 当今世界在以电子信息技术为前提下推动了社会跨越式的进步,科学技术的飞速发展日新月异带动了各国生产力的大规模提高。由此可见科技已成为各国竞争的核心,尤其是电子通信方面更显得尤为重要,在国民生产各部门都得到了广泛的应用,而各种仪器在科技的作用性也非常重要,如信号发生器、单片机、集成电路等。 信号发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和 教学实验等领域。常用超低频信号发生器的输出只有几种固定的波形,有方波、 三角波、正弦波、锯齿波等,不能更改信号发生器作为一种常见的应用电子仪器 设备,传统的可以完全由硬件电路搭接而成,如采用LM324振荡电路发生正弦波、 三角波和方波的电路便是可取的路径之一,不用依靠单片机。 本系统本课题将介绍由LM324集成电路组成的方波——三角波——正弦波 函数信号发生器的设计方法,了解多功能函数信号发生器的功能及特点,进一步 掌握波形参数的测试方法,制作这种低频的函数信号发生器成本较低,适合学生 学习电子技术测量使用。制作时只需要个别的外部元件就能产生正弦波、三角波、 方波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。 关键字:信号发生器、波形转换、LM324

正弦信号发生器2

正弦信号发生器[2005年电子大赛一等奖] 文章来源:凌阳科技教育推广中心 作者:华中科技大学(华中科技大学曹震陈国英孟芳宇)发布时间:2006-4-21 17:33:13 本系统基于直接数字频率合成技术;以凌阳SPCE061A单片机为控制核心;采用宽带运放AD811和AGC技术使得50Ω负载上峰值达到6V±1V;由模拟乘法器AD835产生调幅信号;由数控电位器程控调制度;通过单片机改变频率字实现调频信号,最大频偏可控;通过模拟开关产生ASK、PSK信号。系统的频率范围在100Hz~12MHz,稳定度优于10-5,最小步进为10Hz。 一、方案论证 根据题目要求和本系统的设计思想,系统主要包括图1.1所示的模块。 图1.1 系统模块框图

1、单片机选型 方案一:采用现在比较通用的51系列单片机。51系列单片机的发展已经有比较长的时间,应用比较广泛,各种技术都比较成熟,但此系列单片机是8位机,处理速度不是很快,资源不够充足,而且其最小系统的外围电路都要自己设计和制作,使用起来不是很方便,故不采用。 方案二:选用凌阳公司的SPCE061A单片机。SPCE061A单片机是16位的处理器,主频可以达到49MHz,速度很快,再加上其方便的ADC接口,非常适合对高频信号进行数字调频,如果对音频信号进行A/D采样,经过数字调频并发射,完全可以达到调频广播的效果。 结合题目的要求及SPCE061A单片机的特点,本系统选用凌阳公司的此款单片机。 2、频率合成模块 方案一:锁相环频率合成。如图1.2,锁相环主要由压控LC振荡器,环路滤波器,鉴相器,可编程分频器,晶振构成。且频率稳定度与晶振的稳定度相同,达10-5,集成度高,稳定性好;但是锁相环锁定频率较慢,且有稳态相位误差,故不采用。 图1.2 锁相环的基本原理 方案二: 直接数字频率合成。直接数字频率合成DDFS(Direct Digital Frequency Synthesizer)基于Nyquist定理,将模拟信号采集,量化后存入存储器中,通过寻址查表输出波形数据,再经D/A转

正弦信号发生器(参考2)

正弦信号发生器 作者:曾立丁运鸿陈亮 赛前辅导及文稿整理辅导教师:肖看 摘要 本系统以51单片机及FPGA为控制核心,由正弦信号发生模块、功率放大模块、调幅(AM)、调频(FM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9851产生5Hz-20MHz正弦信号,经滤波、放大和功放模块放大至6v并具有一定的驱动能力。测试信号发生模块产生的1kHz正弦信号经过调幅(AM)模块、调频(FM)模块,对高频载波进行调幅或调频。二进制基带序列信号送入数字键控模块,产生二进制PSK或ASK 信号,同时对ASK信号进行解调,恢复出原始数字序列。另外,本系统还配备有液晶显示屏、遥控键盘,提供了友好的人机交互界面。 ABSTRACT This system is in the core of Micro-Processor and FPGA (Field Programmable Gate Array), consist of sine signal generating module, Power amplifier, Amplitude Modulator, Frequency Modulator, ASK/PSK module and test signal generating module. The AD9851 controlled by Micro-Process in digital way to generate sine signal with the bandwidth 5Hz to 20MHz adjustable per 1Hz. After processing by LPF & power amplifier, the output signal has a peak value of move than 6V. The sine signal at 1 KHz was send to AM and FM module to modulate the high frequency carrier waveform. The binary sequential was send to the relative module to generate ASK and PSK signal. At last demodulate module demodulate the ASK signal and got the same binary sequential as set before. In order to provide a friendly user interface, the LCD and remote infrared control keyboard was introduced in this system.

简易信号发生器和简易频率计

中原工学院 电子技术综合课程设计任务书

目录 第一张概述 (3) 第二章课程设计基本步骤和方法 (4) 第三章设计题目及内容 (6) 第四章心得体会 (16) 第五章主要设备及清单 (17) 第六章参考文献 (19) 第七章附录 (20)

概述 电子技术综合是高校电子类专业的重要技术课程,是继开设的“电子线路”、“数字电子技术”、“模拟电子技术”和“EDA”后的一门独立的课程,是加强学生专业实践,培养学生运用理论知识解决实践问题、训练科学实验能力和创新能力的主要环节。 在教师的指导下,学生通过综合运用所学知识,结合电子技术方面某一专题独立的开展电路的设计、安装与实验。其基本任务一方面是巩固模拟电子技术、数字电子技术课程及前期基础性试验的学习成果,两一方面是培养和训练学生的科学作风及其在电子技术方面的实践技能,提高学生综合运用电子技术知识解决实际问题的能力。 该课程是时间性非常强的课程,强调学生对电子技术的应用,运用所学的知识来解决实际的问题,学生通过熟悉设计任务、查找资料、设计电路、计算机仿真、安装调试和总结书写设计报告环节,学会自己分析、找出解决问题的方法;对设计中遇到的问题,能独立思考,查阅资料,寻找答案;掌握一些测试电路的基本方法,实践中出现一般故障,能通过“分析、观察、判断、实验、在判断”的基本方法独立解决;初步掌握电子工程设计的思路和方法,学习电子产品生产工艺的基本知识和基本操作技能,为将来能在工

作岗位上灵活运用所学的知识以及学习接受新的电子技术知识打下良好的基础。 第二章课程设计基本步骤和方法 1.方案设计 根据设计任务书给定的技术指标和条件,初步设计出完整的电路(预设计)。 主要任务是准备好实验文件,其中包括:划出方框图;画出构成框图的个单元的逻辑电路图;画出整体逻辑图;提出元器件清单;画出连接图。要完成这一阶段的任务,需要设计者进行反复思考,大量参阅文献和资料,将各种方案进行比较及可行性论证,然后才能将方案确定下来。具体步骤是: A明确带设计系统的总体方案;

正弦信号发生器(2012)(DOC)

正弦信号发生器 摘要:本系统以MSP430和DDS为控制核心,由正弦信号发生模块、功率放大模块、频率调制(FM)、幅度调制(AM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9851产生1kHz~10MHz正弦信号;经滤波、放大和功放模块达到正弦信号输出电压幅度 =6V±1V 并具有一定的驱动能力的功能;产生载波信号可设定的AM、FM信号;二进制基带序列码由CPLD产生,在100KHz固定载波频率下进行数字键控,产生ASK,PSK 信号且二进制基带序列码速率固定为10kbps,二进制基带序列信号可自行产生。 关键词:DDS;宽频放大;模拟调频;模拟调幅。 一、方案比较与论证 1.方案论证与选择 (1)正弦信号产生部分 方案一:使用集成函数发生器芯片ICL8038。 ICL8038能输出方波、三角波、正弦波和锯齿波四种不同的波形,将他作为正弦信号发生器。它是电压控制频率的集成芯片,失真度很低。可输入不同的外部电压来实现不同的频率输出。为了达到数控的目的,可用高精度DAC来输出电压以控制正弦波的频率。 方案二:锁相环频率合成器(PLL) 锁相环频率合成器(PLL)是常用的频率合成方法。锁相环由参考信号源、鉴相器、低通滤波器、压控振荡器几个部分组成。通过鉴相器获得输出的信号FO与输入信号Fi的相位差,经低通滤波器转换为相应的控制电压,控制VCO输出的信号频率,只有当输出信号与输入信号的频率于相位完全相等时,锁相环才达到稳定。如果在环路中加上分频系数可程控的分频器,即可获得频率程控的信号。由于输出信号的频率稳定度取决于参考振荡器信号fi ,参考信号fi 由晶振分频得到,晶振的稳定度相当高,因而该方案能获得频率稳定的信号。一般来说PLL的频率输出范围相当大,足以实现1kHz-10MHZ的正弦输出。如果fi=100Hz 只要分频系数足够精细(能够以1步进),频率100Hz步进就可以实现。 方案三:直接数字频率合成(DDS) DDS是一种纯数字化方法。它现将所需正弦波一个周期的离散样点的幅值数字量存入ROM中,然后按一定的地址间隔(相位增量)读出,并经DA转换器形成模拟正弦信号,再经低通滤波器得到质量较好的正弦信号,DDS原理图如图1所示:

EDA课程设计-正弦信号发生器的设计

《EDA技术》设计报告 设计题目正弦信号发生器的设计 院系:信息工程学院 专业:通信工程____ 学号: 姓名:__________

一.设计任务及要求 1.设计任务: 利用实验箱上的D/A 转换器和示波器设计正弦波发生器,可以在示波器上观察到正弦波 2.设计要求: (1) 用VHDL 编写正弦波扫描驱动电路 (2)设计可以产生正弦波信号的电路 (3)连接实验箱上的D/A 转换器和示波器,观察正弦波波形 二.设计方案 (1)设计能存储数据的ROM 模块,将正弦波的正弦信号数据存储在在ROM 中,通过地址发生器读取,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 (2)用VHDL 编写正弦波信号数据,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 三.设计框图 图 1 设计框图 信号发生器主要由以下几个部分构成:计数器用于对数据进行采样,ROM 用于存储待采样的波形幅度数值,TLV5620用于将采集的到正弦波数字量变为模拟量,最后通过示波器进行测量获得的波形。其中,ROM 设置为7根地址线,8个数据位,8位并行输出。TLV5260为串行输入的D/A 转换芯片,因此要把ROM 中并行输出的数据进行并转串。 四.实现步骤 1.定制ROM 计 数 器 7根地址线 8 位 R O M 并转串输出 CLK TLV5620D/A 转换 RST

ROM的数据位选择为8位,数据数选择128个。利用megawizard plug-in manager定制正弦信号数据ROM宏功能块,并将上面的波形数据加载于此ROM中。如图3所示。 图2 ROM存储的数据 图3 调入ROM初始化数据文件并选择在系统读写功能 2.设计顶层

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

简易信号发生器设计制作

简易信号发生器设计制作 一、训练目的 (1)掌握正弦波、三角波、矩形波和方波发生电路的工作原理; (2)学会正弦波、三角波、矩形波和方波发生电路的设计方法; (3)进一步熟悉电子线路的安装、调试、测试方法。 二、工作原理 正弦波、三角板、矩形波是电子电路中常用的测试信号,如测试放大器的增益、通频带等均要用到正弦信号作为测试信号。下面分别介绍产生这三种信号电路结构和工作原理。 1.正弦信号发生器 正弦信号的产生电路形式比较多,频率较低时常用文氏电桥振荡器,图7-1为实用文氏电桥振荡电路。图中R 1、R 2、R 3、RW 2构成负反馈支路,二极管D 1、D 2构成稳幅电路,C 2、R 11(或R 12或R 13)、C 1、R 21(或R 22或R 23)串并联电路构成正反馈支路,并兼作选频网络。调节电位器RW 2可以改变负反馈的深度,以满足振荡的振幅条件和改善波形。二极管D 1、D 2要求温度稳定性好,特性匹配以确保输出信号正负半周对称,R 4接入用以消除二极管的非线性影响,改善波形失真。如K1接电阻R 11、K2接R 21,并且R 11= R 21=R ,C 1= C 2=C ,则电路的振荡频率为: 1 2f RC π= (7-1) 起振的幅值条件: 1 1f v R A R =+ (7-2) 图7-1 正弦信号发生器 通过调整RW 2可以改变电路放大倍数,能使电路起振并且失真最小。该电路可通过开关K1、K2选择不同的电阻以得到不同频率的信号输出。 2.方波和矩形波发生器

方波发生电路如图7-2,其基本原理是在滞回比较器的基础上增加了由R 4和C 1构成的积分电路,输出电压通过该积分电路送人到比较器的反相输入端。其中R 3 、D Z1和D Z2构成双向限幅电路,这样就构成了方波发生器电路,其工作原理如下: 假设在接通电源瞬间,输出电压o v 为Z V +(稳压二极管D Z1、D Z2额定工作时的稳压值),这时比较器同相端的输入电压为 2 12 Z R v V R R +≈ + (7-3) 同时输出电压o v 会通过电阻R 4给C 1充电,反相端的输入电压v -就会逐步升高,当反向输入端的电压v -略大于同相端输入电压v +时,比较器输出电压立即从Z V +翻转为Z V -,这时输出端电压o v 为Z V -,比较器同相端输入电压v +'为 2 12 Z R v V R R +'≈- + (7-4) 这时输出的电压o v 会通过R 4对C 1进行反向充电,当反相输入端的电压略低于v +'时,输出状态再翻转回来,如此反复形成方波信号。所产生方波信号的频率为 41 1 2f R C = 方波 (7-5) R 4 o 图7-2 方波发生电路

正弦波信号发生器设计(课设)

课程设计I(论文)说明书 (正弦波信号发生器设计) 2010年1月19日

摘要 正弦波是通过信号发生器,产生正弦信号得到的波形,方波是通过对原信号进行整形得到的波形。 本文主要介绍了基于op07和555芯片的正弦波-方波函数发生器。以op07和555定时器构成正弦波和方波的发生系统。Op07放大器可以用于设计正弦信号,而正弦波可以通过555定时器构成的斯密特触发器整形后产生方波信号。正弦波方波可以通过示波器检验所产生的信号。测量其波形的幅度和频率观察是否达到要求,观察波形是否失真。 关键词:正弦波方波 op07 555定时器

目录 引言 (2) 1 发生器系统设计 (2) 1.1系统设计目标 (2) 1.2 总体设计 (2) 1.3具体参数设计 (4) 2 发生器系统的仿真论证 (4) 3 系统硬件的制作 (4) 4 系统调试 (5) 5 结论 (5) 参考文献 (6) 附录 (7) 1

引言 正弦波和方波是在教学中经常遇到的两种波形。本文简单介绍正弦波和方波产生的一种方式。在这种方式中具体包含信号发生器的设计、系统的论证、硬件的制作,发生器系统的调制。 1、发生器系统的设计 1.1发生器系统的设计目标 设计正弦波和方波发生器,性能指标要求如下: 1)频率范围100Hz-1KHz ; 2)输出电压p p V ->1V ; 3)波形特性:非线性失真~γ<5%。 1.2总体设计 (1)正弦波设计:正弦波振荡电路由基本放大电路、反馈网络、选频网 络组成。

2 图1.1 正弦波振荡电路产生的条件是要满足振幅平衡和相位平衡,即AF=1; φa+φb=±2nπ;A=X。/Xid; F=Xf/X。;正弦波振荡电路必须有基本放大电路, 本设计以op07芯片作为其基本放大电路。 基本放大电路的输出和基本放大电路的负极连接电阻作为反馈网络。反馈网络中 两个反向二极管起到稳压的作用。振荡电路的振荡频率f0是由相位平衡条件决 定的。一个振荡电路只在一个频率下满足相位平衡条件,这要求AF环路中包含 一个具有选频特性的选频网络。f0=1/2πRC。要实现频率可调,在电容C不变的 情况下电阻R可调就可以实现频率f0的变化。 (2)方波设计:方波可以把正弦波通过斯密特触发器整形后产生。基于555定时器接成的斯密特触发器。 设斯密特触发器输出波形为V1,V2且V1>V2。 输入正弦波v1从0逐渐升高的过程:v1<1/3Vcc时,输出v0=V1; 当1/3Vcc2/3Vcc时,v0=V2; 输入正弦波v1从高于2/3Vcc开始下降的过程:当1/3Vcc

方波——三角波——正弦波函数信号发生器

1函数发生器的总方案及原理框图(1) 1.1电路设计原理框图(1) 1.2 电路设计方案设计(1) 2 设计的目的及任务(2) 2.1 课程设计的目的(2) 2.2课程设计的任务与要求(2) 2.3课程设计的技术指标(2) 3 各部分电路设计(3) 3.1方波发生电路的工作原理(3) 3.2方波---三角波转换电路的工作原理(3) 3.3三角波---正弦波转换电路的工作原理,,,,,,,(6) 3.4电路的参数选择及计算(8) 3.5 总电路图(10) 4 电路仿真(11) 4.1方波---三角波发生电路的仿真(11) 4.2三角波---正弦波转换电路的仿真(12) 5 电路的安装与调试(13) 5.1方波---三角波发生电路的安装与调试(13) 5.2三角波---正弦波转换电路的安装与调试,,,,,,,(13) 5.3总电路的安装与调试(13) 5.4电路安装与调试中遇到的问题及分析解决方法,,,,(13) 6 电路的实验结果(14) 6.1方波---三角波发生电路的实验结果(14) 6.2三角波---正弦波转换电路的实验结果(14) 6.3实测电路波形、误差分析及改进方法(15) ,,,,,,,,,,,,,,,,,,,,,,,, (17)7 实验总结 8 仪器仪表明细清单(18) 9 参考文献(19)

1. 函数发生器总方案及原理框图 1.1原理框图 1.2函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管), 也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波一三角波一正弦波函数发生器的设计方法。 产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波一方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波一三角波,再将三角波变换成正弦波的电路设计方法, 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波一三角波产生电路,比较器输出的方波经积分器 得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形 变换的原理是利用差分放大器传输特性曲线的非线性。

简易函数信号发生器

课程设计任务书 (一)设计目的 1、掌握信号发生器的设计方法和测试技术。 2、了解单片函数发生器IC8038的工作原理和应用。 3、学会安装和调试分立元件与集成电路组成的多级电子电路小系统。 (二)设计技术指标与要求 1、设计要求 (1)电路能输出正弦波、方波和三角波等三种波形; (2)输出信号的频率要求可调; (3)拟定测试方案和设计步骤; (4)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (5)在面包板上或万能板或PCB板上安装电路; (6)测量输出信号的幅度和频率; (7)撰写设计报告。 2、技术指标 频率范围:100Hz~1KHz 1KHz~10KHz; 输出电压:方波V P-P≤24V,三角波V P-P=6V,正弦波V P-P=1V;方波t r小于1uS。 (三)设计提示 1、方案提示: (1)设计方案可先产生正弦波,然后通过整形电路将正弦波变成方波,再由积分电路将方波变成三角波;也可先产生三角波-方波,再将三角波变成正弦波。 (2)也可用单片集成芯片IC8038实现,采用这种方案时要求幅度可调。 2、设计用仪器设备: 示波器,交流毫伏表,数字万用表,低频信号发生器,实验面包板或万能板,智能电工实验台。 3、设计用主要器件: (1)双运放NE5532(或747)1只(或741 2只)、差分管3DG100 4个、电阻电容若干; (2)IC8038、数字电位器、电阻电容若干。 4、参考书: 《电子线路设计·实验·测试》谢自美主编华中科技大学出版社 《模拟电子技术基础》康华光主编高等教育出版社 《模拟电子技术》胡宴如主编高等教育出版社 (四)设计报告要求 1、选定设计方案; 2、拟出设计步骤,画出设计电路,分析并计算主要元件参数值; 3、列出测试数据表格; 4、调试总结,并写出设计报告。 (五)设计总结与思考 1、总结信号发生器的设计和测试方法;

单片机制作简易正弦波信号发生器(DAC0832)

调试时,电源的质量需要较高,不然的话,波形不易观察看清楚。 //河北工程大学信电学院自动化系 //设计调试成功 ***************将DA输出的 0V ~ -5V范围扩展成 -5V ~ +5V范围,电路如下图:*************** 如若VO2输出更平滑一些,可以在VO2处接一个小电容,滤掉高频。 (一)过程分析计算如下: ?第一级运放出来的V o1=-N*V ref/256。当V ref为+5V时,V o1=0~ -5V。 其中,V ref为参考电压,N为8位数字量输出到DAC0832 ?并结合第二级运放,是否可以推出来如下式子: V o2=-(2*V o1+V ref)=-(2*-N*V ref/256+V ref) =-(-2N*V ref/256+V ref) =2N*V ref/256-V ref 当参考电压V ref=5V时,V o2=10N/256-5。 由于要求输出的是正弦波xsinθ,幅值x不定,下面考虑幅值x分别取5和1的情况: ●当输出波形为5 sinθ时:5 sinθ=V o2 =2N*V ref/256-V ref =10N/256-5 //此时V ref=+5V 得sinθ=2N/256-1

●当输出波形为sinθ时:sinθ=V o2 =2N*V ref/256-V ref =10N/256-5 //此时V ref=+5V 得sinθ=10N/256-5 最后可以考虑输出波形的频率问题。例如要求输出特定频率的正弦波。 (二)针对输出的不同幅值波形 ?当输出波形为5 sinθ时:得sinθ=2N/256-1 这里我们要求进步为一度。具体到进步大小,和内存RAM或者ROM有关,即和你存放数据表的空间有关。放到哪个空间都可以。(这里周期采样最多256个点,步数可以为1、2、5等,自己视情况而定,这里由于是360度,256个采样点,故步的大小360/256=1.4=△θ,由此算的前三个 θ=0,1.4,2.8……,对应N为0x80,0x83,0x86……) 通过sinθ的特征和计算部分数据发现规律: 0~90度与90~180度大小是对称的;181~270度与270~359度是对称的。 故,不是所有数据都是计算的。

函数信号发生器使用说明(超级详细)

1-1 SG1651A函数信号发生器使用说明 一、概述 本仪器是一台具有高度稳定性、多功能等特点的函数信号发生器。能直接产生正弦波、三角波、方波、斜波、脉冲波,波形对称可调并具有反向输出,直流电平可连续调节。TTL可与主信号做同步输出。还具有VCF输入控制功能。频率计可做内部频率显示,也可外测1Hz~的信号频率,电压用LED显示。 二、使用说明 面板标志说明及功能见表1和图1 图1 序 号 面板标志名称作用1电源电源开关按下开关,电源接通,电源指示灯亮 2 波形波形选择1、输出波形选择 2、与1 3、19配合使用可得到正负相锯齿波和脉冲波 3频率频率选择开关频率选择开关与“9”配合选择工作频率外测频率时选择闸门时间 4Hz频率单位指示频率单位,灯亮有效 5K Hz频率单位指示频率单位,灯亮有效 6闸门闸门显示此灯闪烁,说明频率计正在工作 7溢出频率溢出显示当频率超过5个LED所显示范围时灯亮 8频率LED 所有内部产生频率或外测时的频率均由此5个LED显示 9频率调节频率调节与“3”配合选择工作频率 10直流/拉出直流偏置调节输 出 拉出此旋钮可设定任何波形的直流工作点,顺时 针方向为正,逆时针方向为负

DC1641数字函数信号发生器使用说明 一、概述 DC1641使用LCD显示、微处理器(CPU)控制的函数信号发生器,是一种小型的、由集成电路、单片机与半导体管构成的便携式通用函数信号发生器,其函数信号有正弦波、三角波、方波、锯齿波、脉冲五种不同的波形。信号频率可调范围从~2MHz,分七个档级,频率段、频率值、波形选择均由LCD显示。信号的最大幅度可达20Vp-p。脉冲的占空比系数由10%~90%连续可调,五种信号均可加±10V的直流偏置电压。并具有TTL电平的同步信号输出,脉冲信号反向及输出幅度衰减等多种功能。除此以外,能外接计数输入,作频率计数器使用,其频率范围从10Hz~10MHz(50、100MHz[根据用户需要])。计数频率等功能信息均由LCD 显示,发光二极管指示计数闸门、占空比、直流偏置、电源。读数直观、方便、准确。 二、技术要求 函数发生器 产生正弦波、三角波、方波、锯齿波和脉冲波。 2.1.1函数信号频率范围和精度 a、频率范围 由~2MHz分七个频率档级LCD显示,各档级之间有很宽的覆盖度, 如下所示: 频率档级频率范围(Hz) 1 ~2 10 1~20 100 10~200 1K 100~2K 10K 1K ~20K 100K 10K ~200K

正弦信号发生器设计

正弦信号发生器设计方案 1 引言 为了精确地输出正弦波、调幅波、调频波、PSK及ASK等信号,并依据直接数字频率合成(Direct Digital FrequencySvnthesizer,简称DDFS)技术及各种调制信号相关原理,设计了一种采用新型DDS器件产生正弦波信号和各种调制信号的设计方法。采用该方法设计的正弦信号发生器已广泛用于工程领域,且具有系统结构简单,界面友好等特点。 2 系统总体设计方案 图1给出系统总体设计方框图,它由单片机、现场可编程门阵列(FPGA)及其外围的模拟部分组成。在FPGA的内部数字部分中,利用FPGA内部的总线控制模块实现与键盘扫描、液晶控制等人机交互模块的通信,并在单片机与系统工作总控制模块之间的交互通信中起桥梁作用。系统工作总控制可统一控制各个时序模块;各时序模块用于完成相应的控制功能。在模拟部分中,利用无源低通滤波器及放大电路,使AD9851型DDS模块的输出信号成为正弦波和FM调制信号;再利用调幅电路,使FPGA内部DDS模块产生的信号与AD9851输出的载波信号变为调幅信号,同时在基带码控制下通过PSK/ASK调制电路得到PsK和ASK信号。最后,各路信号选择通道后,经功率放大电路驱动50Ω负载。 3 理论分析与计算 3.1 调幅信号 调幅信号表达式为:

式中:ω0t,ωt分别为调制信号和载波信号的角频率;MA为调制度。 令V(O)=Vocos(ω0t),V(ω)=MAcos(ωt),则V(t)=V(O)+V(O)V(ω)。故调幅信号可通过乘法器和加法器得到;通过改变调制信号V(ω)的幅值改变MA,V(ω)的范围为0.1~l V,MA对应为10%~100%。 3.2 调频信号 采用DDS调频法产生调频信号,具体实现方法:通过相位累加器和波形存储器在FPGA内部构成一个DDS模块,用于产生1 kHz的调制信号。其中,波形存储器的数据即为调制信号的幅度值。将这些表示幅度值的数据直接与中心频率对应的控制字相加,即可得到调频信号的瞬时频率控制字,再按调制信号的频率切换这些频率控制字,即可得到与DDS模块输出相对应的调频信号。 3.3 PSK和ASK信号 ASK信号是振幅键控信号,可用一个多路复用器实现。当控制信号为1时,选择载波信号输出;当控制信号为0时,不选择载波信号输出;当控制信号由速率为10 Kb/s的数字脉冲序列给出时,可以产生ASK信号。PSK信号是移相键控信号,这里只产生二相移相键控,即BPSK信号。它的实现方法与ASK基本相同,只是在控制信号为0时,选择与原载波信号倒相的输出信号,该倒相信号可由增益倍数为l的反相放大电路实现。 4 主要功能电路设计 图2给出调幅电路。它采用ADI公司的乘法器AD835实现。该器件内部自带加法器,可直接构成调幅电路。图3给出PSK/ASK电路。它主要由多路复用器和移相器构成。其中,移相器采用Maxim公司的高速运算放大器MAX477所构成的反相放大电路实现,多路复用器采用ADI公司的AD7502。当两条通道选择控制线A1AO为ll时,输出原信号;当A1A0为00时,输出原信号的反相信号;当A1A0为01时,无信号输出。这样只要FPGA按固定速率通过Al和AO两条控制线给出基带序列信号,就能相应输出PSK和ASK信号。

方波、三角波、正弦波函数信号发生器

内蒙古工业大学信息工程学院 内蒙古工业大学信息工程学院 课程学习报告 设计题目:如何实现正弦波、方波与三角波信号之间的变换 课程名称:模拟电子技术 班级: 姓名: 学号: 成绩: 指导教师:

目录 1 函数发生器的总方案及原理框图 (1) 1.1 电路设计原理框图 (1) 1.2 电路设计方案设计 (1) 2设计的目的及任务 (2) 2.1 课程设计的目的 (2) 2.2 课程设计的任务与要求 (2) 2.3 课程设计的技术指标 (2) 3 各部分电路设计 (3) 3.1 方波发生电路的工作原理 (3) 3.2 方波---三角波转换电路的工作原理 (3) 3.3 三角波---正弦波转换电路的工作原理 (6) 3.4电路的参数选择及计算 (8) 3.5 总电路图 (10) 4 电路仿真 (11) 4.1 方波---三角波发生电路的仿真 (11) 4.2 三角波---正弦波转换电路的仿真 (12) 5电路的安装与调试 (13) 5.1 方波---三角波发生电路的安装与调试 (13) 5.2 三角波---正弦波转换电路的安装与调试 (13) 5.3 总电路的安装与调试 (13) 5.4 电路安装与调试中遇到的问题及分析解决方法 (13) 6电路的实验结果 (14) 6.1 方波---三角波发生电路的实验结果 (14) 6.2 三角波---正弦波转换电路的实验结果 (14) 6.3 实测电路波形、误差分析及改进方法 (15) 7 实验总结 (17) 8 仪器仪表明细清单 (18) 9 参考文献 (19)

1.函数发生器总方案及原理框图 1.1 原理框图 1.2 函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波—三角波—正弦波函数发生器的设计方法。 产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法, 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。

函数信号发生器实验报告

青海师范大学 课程设计报告课程设计名称:函数信号发生器 专业班级:电子信息工程 学生姓名:李玉斌 学号:20131711306 同组人员:郭延森安福成涂秋雨 指导教师:易晓斌 课程设计时间:2015年12月

目录 1 设计任务、要求以及文献综述 2 原理综述和设计方案 2.1 系统设计思路 2.2设计方案及可行性 2.3 系统功能块的划分 2.4 总体工作过程 3 单元电路设计 3.1 安装前的准备工作 3.2 万用表的安装过程 4 结束语 1设计任务、要求 在现代电子学的各个领域,常常需要高精度且频率可方便调节的信号发生器。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路称为函数信号发生器,又名信号源或振荡器。函数信号发生器与正弦波信号发生器相比具有体积小、功耗少、价格低等优点, 最主要的是函数信号发生器的输出波形较为灵活, 有三种波形(方波、三角波和正弦波)可供选择,在生产实践,电路实验,设备检测和科技领域中有着广泛的应用。 该函数信号发生器可产生三种波形,方波,三角波,正弦波,具有数字显示输出信号频率和电压幅值功能,其产生频率信号范围1HZ~100kHZ,输出信号幅值范围0~10V,信号产生电路由比较器,积分器,差动放大器构成,频率计部分由时基电路、计数显示电路等构成。幅值输出部分由峰值检测电路和芯片7107等构成。 技术要求: 1. 信号频率范围 1Hz~100kHz; 2. 输出波形应有:方波、三角波、正弦波; 3. 输出信号幅值范围0~10V; 4. 具有数字显示输出信号频率和电压幅值功能。

2原理叙述和设计方案 2.1 系统设计思路 函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件(如低频信号函数发生器S101全部采用晶体管),也可以是集成器件(如单片集成电路函数信号发生器ICL8038)。产生方波、正弦波、三角波的方案也有多种,如先产生方波,再根据积分器转换为三角波,最后通过差分放大电路转换为正弦波。频率计部分由时基电路、计数显示电路等构成,整形好的三角波或正弦波脉冲输入该电路,与时基电路产生的闸门信号对比送入计数器,最后由数码管可显示被测脉冲的频率。产生的3种波经过一个可调幅电路,由于波形不断变化,不能直接测出其幅值,得通过峰值检测电路测出峰值(稳定的信号幅值保持不变),然后经过数字电压表(由AD转换芯片CC7107和数码管等组成),可以数字显示幅值。 2.2设计方案及可行性 方案一:采用传统的直接频率合成器。首先产生方波—三角波,再将三角波变成正弦波。 方案二:采用单片机编程的方法来实现(如89C51单片机和D/A转换器,再滤波放大),通过编程的方法控制波形的频率和幅度,而且在硬件电路不变的情况下,通过改变程序来实现频率变换。 方案三:是利用ICL8038芯片构成8038集成函数发生器,其振荡频率可通过外加直流电压进行调节。 经小组讨论,方案一比较需要的元件较多,方案二超出学习范围,方案三中的芯片仿真软件中不存在,而且内部结构复杂,不容易构造,综合评定,最后选择方案一。 2.3系统功能块的划分 该系统应主要包括直流稳压电源,信号产生电路,频率显示电路和电压幅值显示电路四大部分。 直流稳压电源将220V工频交流电转换成稳压输出的直流电压,信号产生电路产生的信号,经过适当的整形,作为频率显示电路的输入,从而达到了数字显示频率的要求;产生的信号经过幅频显示部分(峰值检测电路和数模转换),便

相关文档