文档库 最新最全的文档下载
当前位置:文档库 › STM32F407灵致开发板应用手册V1.1

STM32F407灵致开发板应用手册V1.1

STM32F407灵致开发板应用手册V1.1
STM32F407灵致开发板应用手册V1.1

CKL电子工作室出品

STM32F4 灵致开发板

应用手册

编制:Jacky.Cheng

版本:V1.0

修订记录:

备注:

灵致开发板淘宝网址:

https://www.wendangku.net/doc/0b17340157.html,/item.htm?spm=686.1000925.1000774.5.467578&id=157********

目录1.开发板简介

1-1核心芯片STM32F407ZG

1-2灵致开发板主要特点和功能

1-3灵致开发板主要特点和功能2.硬件结构

2-1启动模式和拨码开关

2-2摄像头接口(DCMI)

2-3音频DAC

2-4串口和CAN

2-5Ethernet

2-6USB

2-7EEPROM和SPI _FLASH

2-88x8键盘接口

2-9SD卡接口

2-10LCD和触摸屏

2-11其它器件

3.例程使用指南

3-1开发软件设置

3-2系统固化升级

3-3Camera应用例程

3-4Key_Board应用例程

3-5音频应用例程

3-6以太网应用

3-7USB应用例程

3-8从外部NOR_FLASH执行代码4.总结

1开发板简介

1-1核心芯片STM32F407ZG

?内核:带有FPU的ARM 32位Cortex?-M4 CPU、在Flash存储器中实现零等待状态运行性能的自适应实时加速器(ART加速器?)、主频高达168MHz,存储器保护单元,能够实现高达210DMIPS/1.25DMIPS/MHz(Dhrystone 2.1)的性能,具有DSP 指令集。

?存储器

?Up to 1 Mbyte of Flash memory

?Up to 192+4 Kbytes of SRAM including 64-Kbyte of CCM (core coupled memory) data RAM

?Flexible static memory controller supporting Compact Flash, SRAM, PSRAM, NOR and NAND memories

?LCD并行接口、8080/6800模式

?时钟、复位和电源管理

? 1.8 V to 3.6 V application supply and I/Os

?POR, PDR, PVD and BOR

?4-to-26 MHz crystal oscillator

?Internal 16 MHz factory-trimmed RC (1% accuracy)

?32 kHz oscillator for RTC with calibration

?Internal 32 kHz RC with calibration

?Sleep, Stop and Standby modes

?V BAT supply for RTC, 20×32 bit backup registers + optional 4 KB backup SRAM

?3个12位、2.4MSPS A/D转换器:多达24通道,三重交叉模式下的性能高达

7.2 MSPS

?2个12位D/A转换器

?通用DMA:具有FIFO和突发支持的16路DMA控制器

?多达17个定时器:12个16位定时器,和2个频率高达168MHz的32位定时器,每个定时器都带有4个输入捕获/输出比较/PWM,或脉冲计数器与正交(增量)编码器输入

?调试模式

?Serial wire debug (SWD) & JTAG interfaces

?Cortex-M4 Embedded Trace Macrocell?

?多达140个具有中断功能的I/O端口

?Up to 136 fast I/Os up to 84 MHz

?Up to 138 5 V-tolerant I/Os

?多达15个通信接口

?Up to 3 × I2C interfaces (SMBus/PMBus)

?Up to 4 USARTs/2 UARTs (10.5 Mbit/s, ISO 7816 interface, LIN, IrDA, modem control)

?Up to 3 SPIs (37.5 Mbits/s), 2 with muxed full-duplex I2S to achieve audio class accuracy via internal audio PLL or external clock ? 2 × CAN interfaces (2.0B Active)

?SDIO interface

?高级连接功能

?USB 2.0 full-speed device/host/OTG controller with on-chip PHY ?USB 2.0 high-speed/full-speed device/host/OTG controller with dedicated DMA, on-chip full-speed PHY and ULPI

?10/100 Ethernet MAC with dedicated DMA: supports IEEE 1588v2 hardware, MII/RMII

?8~14位并行照相机接口:速度高达54MB/s

?真随机数发生器

?CRC计算单元

?96位唯一ID

?RTC:亚秒级精度、硬件日历

1-2板载NOR FLASH和SRAM

灵致开发板搭载了外置128Mbit NOR_FLASH和256K x 16Bit 异步SRAM,用于代码和数据的扩展。

1-2-1 NOR FLASH ----------------M29W128GL

M29W128GL是一款128Mbit的NORflash 芯片,其存储区域可分为128个块,每块128k字节。M29W128GL内置编程/擦写控制器,支持编程/擦写操作的挂起和恢复,支持快速编程指令。

开发板使用M29W128GL作为外置的代码存储器。在IAR开发环境下,不能直接将用户程序直接下载到外置NOR FLASH中,需要相关的FlashLoader去下载,然后通过stm32f407内置flash执行并跳转至用户程序。在后面的例程使用指南中有详细的叙述。

1-2-2异步SRAM ----------------IS61WV25616BLL

IS61WV25616BLL是256k x 16 bits高速SRAM,可用于外置数据存储。它具有高速存储,低待机功耗和运行功耗,高低字节数据控制以及三态输出等特性。

开发板上IS61WV25616BLL被连接到FSMC的Bank1的NORSRAM2,在相应的例程中应用该芯片作为程序的数据存储器。

1-3 灵致开发板主要特点和功能

1-3-1 电源供给能力

灵致开发板使用外置5V2A电源适配器作主电源输入,主芯片和其他外设所需的3.3V 电压使用SPX29302转换。SPX29302是一种高精度,大输出电流(最大达3A)的低压差线性稳压器(LDO)。其外围电路简单,输出电压可调。

另外为了提供音频DAC所需的2.5V电压,开发板使用RT9183进行5V-2.5V的电压转换。RT9183是超低压差大电流线性稳压器,输入电压可从2.3V-5.5V,最大输出电流1.5A。

综上所述,灵致开发板所能提供的电源供给能力完全能够满足大负载,多端口连接的要求。另外灵致开发板还预留了一个5V的板间电源接口J12(2x4),用作给其他板提供电源。具体请参考电路原理图。

1-3-2 扩展能力

灵致开发板除了板载的接口以外,预留了FC-34P(2x17)的排线接口用于板间的数据传递,具体如下图:

PD7

该扩展接口可实现的功能如下:

1 由于在实际应用中,经常使用SPI或者I2C来配置芯片,所以该扩展接口包括的该类信号线有:PA4,PA5,PB4,PB5可复用为SPI1,PB6,PB7,PB8,PB9可复用为I2C1,PB10,PB11可复用为I2C2。

2 可复用为4-bit SDIO接口的PC8,PC9,PC10,PC11,PC12,PD2,用于在其他板上扩展新的SD卡。

3 可复用为I2S接口的PC7,PC10,PC12,PA15

4 可复用为JTAG口的PB3,PB4,PA13,PA14,PA15,用于在其它板上进行程序烧写。

1-3-3 优异的电气性能

灵致开发板没有采用核心板加底板结构,而是单块4层PCB,内层完整的地平面,保证该板优异的电源完整性和抗干扰性能。

另外两个USB接口都有专门的USB-ESD保护器件,USB 信号线DP,DM和以太网TX+,TX-,RX+,RX-均使用了差分走线和PCB阻抗控制。USB差分90欧,以太网口差分100欧,误差±10%。

1-3-4 整合能力

灵致开发板的设计初衷是希望从实际应用出发,所以整个电路要兼顾多种应用。STM32F407ZG的一些引脚在复用时会发生冲突,比如DCMI和SDIO接口。具体的引脚复用关系请参考STM32F407的数据手册“Table 6. Alternate function mapping”,所以为了解决这些问题,电路连接做了相应的处理。

SD卡接口除了4bit并行接口外,还将其连接在SPI1上作为备用。SPI1(PA5,PB4,PB5)共挂载了4个器件,包括触摸屏,SPI_FLASH,SD卡以及音频DAC,分别使用PG11,PG15,PB12和PF11作为片选。当需要SD卡和Camera共用时,可将SD卡接口转成SPI,避免信号线冲突。

以上只是其中的一个例子,在应用中可根据需要来调整电路。

2硬件结构

2-1 启动模式和拨码开关

STM32F407有3种启动模式,如下图所示

在系统复位后,SYSCLK 的第4个上升沿,BOOT 引脚的值将被锁存。用户可以通过设置BOOT1和BOOT0引脚的状态,来选择在复位后的启动模式。为了方便用户调整启动模式,灵致开发板设置了一个4位的拨码开关。它的第一位和第二位分别连接BOOT0和BOOT1。

ETH_SPEED

MCU_BOOT1

MCU_BOOT0R12010K

SW1

SW DIP-4

Audio_CTL_MODE

另外两位分别连接Audio_CTL_MODE 和ETH_SPEED,用于控制音频接口控制方式和以太网口连接速度,具体应用方法在后续的章节中将详述。

2-2 摄像头接口(DCMI)

开发板设置了2x9排座作为外置摄像头接口,接口连接如下图所示:

PB3

该接口为8bit 并行数据接口,除了采集到的图像数据还有VSYNC ,HSYNC 以及像素时钟PIXCLK 输出到stm32f407。另外接口的3,4引脚是摄像头控制接口(SCCB )。

外置的摄像模块采用OV7670 芯片,该芯片具有以下特性:

1)高灵敏度适合低照度应用

2)低电压适合嵌入式应用

3)标准的SCCB接口,兼容I2C接口

4)RawRGB,RGB(GRB4:2:2,RGB565/555/444),YUV(4:2:2)和YCbCr(4:2:2)输出格式

5)支持VGA,CIF,和从CIF到40x30的各种尺寸

6)VarioPixel 子采样方式

7)自动影响控制功能包括:自动曝光控制、自动增益控制、自动白平衡,自动消除灯光

条纹、自动黑电平校准.图像质量控制包括色饱和度、色相、伽玛、锐度和ANTI_BLOOM

8)ISP具有消除噪声和坏点补偿功能

9)支持闪光灯:LED灯和氙灯

10)支持图像缩放

11)镜头失光补偿

12)50/60Hz自动检测

13)饱和度自动调节(UV调整)

14)边缘增强自动调节

15)降噪自动调节

图中这两个引脚用0欧跳阻实现了两种连接方式,分别为PB10,PB11以及PB3,PC13.其中PB10和PB11可复用成I2C2,而PB3,PC13则作为GPIO模拟SCCB。使用这种双连接是因为SCCB虽然兼容I2C,但还是有些不同。SCCB接口不能添加上拉电阻,且笔者开始使用I2C接口去读写OV7670模块时,一直无法得到模块的应答,所以这里使用了双连接。例程中SCCB的读写是通过GPIO模拟SCCB时序实现的,用户如果有兴趣可尝试利用I2C 去读取OV7670。关于具体OV7670的应用,后续例程使用指南中将有详述。

2-3音频DAC

开发板的音频输出接口使用音频DAC -----AK4366将I2S传递的音频数据流转换成模拟量并放大输出。AK4366是一款内置耳机放大器的高精度24bit音频DAC芯片,它的特点有:

1)采样速率8K~48KHz

2)64倍过采样

3)片上8次FIR滤波插值器

4)数字去加重滤波器:32K,44.1K和48K

5)系统时钟:256fs,384fs,512fs,接受交流耦合输入

6)3线控制接口

7)低音增强功能

8)耳机放大器输出功率50mw x 2ch @3.3V,信噪比92dB @ 2.4V,开关机和静音无pop

噪声

AK4366有两种控制方式,3线串行模式和并行模式。控制模式的选择引脚P/S连接到了拨码开关的第3位。该引脚为高电平时选择并行模式,低电平则进入3线串行控制模式。并行模式下,支持的音频数据格式如下所示:

串行模式支持的格式为:

两种模式支持的功能为:

由以上3个表格可知,串行模式下芯片支持的数据格式和功能都要优于并行模式。而并行模式的优点在于控制简单,直接用引脚电平的高低来选择数据格式,无需程序配置,方便用户使用。

在开发板AK4366两种控制模式均可应用,如需并行模式,只要将拨码开关的第3位AUDIO_CTL_MODE置高,DIF0/CSN引脚设有上拉电阻,芯片复位后默认格式就是I2S compatible。用户只需配置好stm32f407的I2S接口(选择I2S飞利浦标准),保证数据正常输出即可。进入串行模式则要将AUDIO_CTL_MODE置低,另外通过软件配置寄存器,采用16位数据帧格式。CSN作为片选,CCLK和CDTI分别为控制时钟和数据输入。

例程中AK4366串行模式的配置代码应用的是GPIO模拟时序方式,比较便捷有效。同时AK4366的3线串行控制线也是挂载在SPI1上,用户可尝试使用SPI接口进行配置,具体时序请参考数据手册。

下图为AK4366的电路连接图:

PB5PA5

挂载在

2-4 串口和CAN

开发板上串口传输芯片为MAX3232,共连接两路信号,分别为USART1(PA9,PA10)和USART3(PC10,PC11)。用户可以通过0欧跳阻任意选择其中一路连接到DB9母头。开发板上默认连接是USART3,在启动模式(BOOT0=1,BOOT1=0)下,可通过该串口进行IAP 程序升级。具体请参考例程指南。

CAN 收发器SN65HVD230可用于较高干扰环境下。该器件在不同的速率下均有良好的收发能力,其主要特点如下: 1) 完全兼容ISO11898标准;

2) 高输入阻抗,允许120个节点;

3) 低电流等待模式,典型电流为370μA ; 4) 信号传输速率最高可达1Mb/s ; 5) 具有热保护,开路失效保护功能; 6) 具有抗瞬间干扰,保护总线的功能; 7) 斜率控制,降低射频干扰(RFI );

8) 差分接收器,具有抗宽范围的共模干扰、电磁干扰(EMI )能力。

2-5 Ethernet

开发板提供一个10M/100M 以太网RJ45接口,使用RTL8201CP 芯片为外置PHY 。通

过和stm32f407内置MAC配合,实现以太网数据应用。

Realtek RTL8201CP是一种快速以太网物理层收发器,它为MAC芯片提供了可选择的MII或SNI接口,具有以下特性:

1)支持MII/7线SNI接口

2)支持10/100Mbps操作

3)支持全双工/半双工操作

4)双绞线或光纤模式输出支持

5)符合IEEE 802.3/802.3u

6)支持IEEE 802.3u第28条自动协商

7)支持掉电模式

8)支持在掉线省电模式(LDPS)下操作

9)支持基线漫游校正

10)支持网线自动交叉检测

11)支持转发器模式

12)速度/双工/自动协商可调

13)3.3V操作最大可允许5V IO信号

14)低功耗运行,仅需要单一的3.3V支持

15)自适应均衡

16)25MHz晶振作为时钟源

17)多种网络状态LED支持

18)流控能力支持与MAC共同工作(通过MDC/MDIO)

19)48引脚LQFP封装

RTL8201可外接25MHz无源晶体或有源晶振作为参考时钟输入。在100Mbps的速率下,输出25M的时钟给STM32F407,作为发送和接收时钟。在10Mbps速率下,则是2.5M。RTL8201可提供Link,Duplex,100M Act,10M Act和Collision LED指示。与RTL8201CP 相连接的RJ45接口使用了HanRun 内置变压器的HR911105A。其中Link和100 Act是连接在HR911105A上,其它3个LED放置在开发板正下方,方便用户在调试网口时观察状态。

另外,RTL8201的SPEED引脚连接到了拨码开关的第4位ETH_SPEED。如果SPEED 引脚为高电平,则8201支持100M和10M所有的操作。而如果设为低电平,则强制8201工作在10M。用户可以通过手动设置,在8201处于自动协商状态时,方便的在100M和10M

速率之间切换。

2-6 USB

灵致开发板提供两个USB 接口,一个是USB-FS-OTG 口(U13),另外一个是USB-HS-DEVICE 口(U9)。根据数据手册关于USB Full_Speed OTG 接口的解决方案,如下图所示。

开发板同样采用了USB 电源开关SP2525A 来控制USB 在Device 和Host 之间的电源转换。SP2525A 具有低压锁定,短路电流限制,热关断以及缓开启快关闭等特性,能够满足最大传输线长的压降需求。开发板上设置了过流报警灯(OVERCURRENT ),在USB 过流时将报警。

PA9PA11

USB-HS 接口支持3种PHY 类型。

如果要达到High-Speed (480Mbits/s )需要添加外置的ULPI 接口的高速PHY ,芯片数据手册中给出了具体的解决方案,如下图所示:

出于应用便捷的目的,开发板选择的是利用内置PHY将其设成full speed Device的结构,连接图十分简单(下图)且USB接口使用USB-B型母头,方便与大多数设备连接。

另外,两个USB接口均使用了USB ESD保护器件,同时USB走线都是标准差分等长走线,阻抗90±10%,信号完整性良好,传输性能稳定。可以在实际项目中应用。

2-7EEPROM和SPI_FLASH

开发板上提供一个32Kbit I2C接口EEPROM和一个16Mbit SPI_FLASH。EEPROM采

用的是M24C32,该芯片支持最大32字节的页写操作,具有加强ESD 保护和锁存特性。

EEPROM

其器件地址选择如下图所示:

另外写操作控制脚(WP )上同时连接了上下拉电阻。开发板上默认下拉,也就是允许写操作,用户可根据需求自己调整。

SPI_FLASH 采用的是M25P16,需要注意的是,该芯片挂载在SPI1。由于SPI1上有多个芯片,所以采用的是软片选的方式。

挂载在SPI1上

0.1uF

SPI1_MOSI

SPI1_SCK SPI1_MISO SPI_FLASH_CS PG15PA5PB4PB5

2-8 8x8键盘接口

为了组成小型嵌入式系统和更好的人机交互,灵致开发板设置了一个可扩展外接键盘的2x8排针接口。

该接口使用CH452A 作为接口芯片,它是一款数码管显示驱动和键盘扫描控制芯片。内置时钟振荡电路,可以动态驱动8位数码管或者64只LED ,具有BCD 译码、闪烁、移位、段位寻址、光柱译码等功能;同时还可以进行64键的键盘扫描。

CH452的键盘扫描功能是有以下特性:

1)内置64键键盘控制器,基于8×8矩阵键盘扫描。

2)内置按键状态输入的下拉电阻,内置去抖动电路。

3)键盘中断,可以选择低电平有效输出或者低电平脉冲输出。

4)提供按键释放标志位,可供查询按键按下与释放。

5)支持按键唤醒,处于低功耗节电状态中的CH452可以被部分按键唤醒

CH452可选4线高速接口和2线串行接口,本板使用的是2线串行接口与进行通信,并兼容I2C总线。如下图所示,开发板对2线接口预留了两种选择。一种是可复用为I2C2的PB10和PB11,另一种是用作GPIO模拟I2C的PB3和PC13。默认的连接方式是后一种,用户也可通过0欧跳阻来选择I2C2连接。

当CH452A扫描到有按键按下时,24脚将产生低电平中断脉冲信号,输入到PG6。用户只需配置好stm32f407的外部中断,然后通过2线串行接口读取按键值即可。

2-9SD卡接口

开发板上的SD卡接口兼容两种接口方式,4bit并行模式和SPI模式。这样做的目的,前文已经提及过,是为了硬件的兼容性,所以这里就不再过多叙述了。需要注意的是,SD 卡座使用的是11pin自弹式,包括SD插入检测引脚,见下图。

PC11PC8PC9PC10

PD2PC12

挂载在SPI1上

2-10 LCD 和触摸屏

本板使用的液晶屏是3.2寸TFT 液晶,分辨率320x240,控制芯片采用ili9320,输入方式设置为i80/16bit 并行数据接口。另外触摸外屏对应的接口芯片为ADS7846,是ADS7843的升级版,通过SPI 接口与stm32f407连接。

PF10PG7PG8

PG10

MCU_RESET

PB4PB5PA5PG11R158

NC

R159

NC

J8

CON34A 1234567891011121314151617192123252627282930313233

34

18202224挂载在SPI1上

SPI1_SCK VCC3V3

FSMC_D1FSMC_D3FSMC_D5FSMC_D0FSMC_D2FSMC_D4FSMC_D6FSMC_D8FSMC_D10FSMC_D12FSMC_D14TFT_CS FSMC_NWE MCU_RESET FSMC_D7FSMC_D9FSMC_D11FSMC_D13FSMC_D15FSMC_A0FSMC_NOE

TFT_BLACK_LIGHT TFT_INT TFT_BUSY SPI1_MISO SPI1_MOSI TS_CS

2-11 其它器件

除了以上所述的主要器件,开发板还设置了一个5V 有源蜂鸣器,一个20K 可调电阻用作ADC 测试。板载轻触按键设置了两个,Reset 和Wakeup 键,在不使用外置扩展键盘的情况下也可以将Wakeup 键作为用户输入按键。

3例程使用指南

3-1开发软件设置

灵致开发板的所有例程均使用IAR和Keil两种开发软件编译调试,由于硬件和例程使用的需求,要进行相关的设置。笔者用于下载调试的仿真器是Jlink V8,它通过20pin JTAG 口与开发板连接。

Cortex-M4的内核集成了串行/JTAG调试接口(SWJ-DP),包括JTAG-DP接口(5 个引脚)和SW-DP接口(2 个引脚)。由于JTAG接口中的PA15,PB3,PB4脚均复用了其它功能,那么在开发板已下载程序且其中包括这两个引脚的相关应用时,继续用JTAG接口下载调试新的程序就会发生Jlink无法连接,或者中途报错的情况。为了避免这个问题,就需要将IAR 和Keil的调试下载Jlink选项内的接口类型设为SWD,如下图所示:

IAR中Jlink设置

Keil中Jlink设置

3-2系统启动模式应用

STM32在系统存储器启动模式(system memory boot mode:BOOT0=1,BOOT1=0)下,可以直接使用固化在系统存储区的bootloader,利用ISP程序(flash loader或usb dfu)下载程序。该bootloader在ISP程序使用时不会被擦除,给用户提供很大的方便。

相对于STM32F405/415和STM32F407/417系列芯片,系统bootloader对应特定的外设,详细情况请参考“AN2606 Application note:STM32?microcontroller system memory boot mode”。灵致开发板上可使用USB-FS-OTG和串口进行程序下载。

3-3Camera应用例程

开发板摄像模块采用DCMI-DMA模式,具体应用方式为:首先由板载24MHz有源晶振输送时钟给摄像头,然后设定OV7670的图像输出格式为RGB565,通过DCMI口以DMA 的方式传递。

对于摄像头OV7670寄存器的配置,需要注意的是一下几个寄存器:

0x12:这个寄存器的最高位可用来软件复位所有寄存器,另外其他位用来设置图像的输出格式:CIF,QVGA,QCIF,以及配置图像数据的格式:YUV,RGB565,Bayer RGB RAW。

0x71:用于调试输出测试波形,通过和0x70配合使用的。设置0x70寄存器为0,0x71配置为0x80,可以让摄像头输出8条彩带(0x42 bit[3]要置1)。

0x11:这个寄存器用来配置OV的内部时钟相对于外部时钟的分频。OV7670的外部时钟由外部24M有源晶振供给。

0x6b:这个寄存器是内部时钟的倍频系数配置寄存器。我也尚未清楚为何有了分频(0x11)

还要一个倍频。通过实验确实发现这两个寄存器的配置以不同的组合出现时对应的像素时钟是安线性关系改变的。但无论怎么组合,最后的内部时钟是无法超过外部时钟的。这个寄存器还可以配置内部LDO是否开启。开启了内部LDO功能后硬件上可以少一个1.8V的线性稳压器给内核供电。

0x15:这是个很关键的寄存器,按照DCMI采样方式的配置,需要正确设置PCLK,HSYNC,VSYNC的相位关系,否则不能正确采集到图像。

根据参考手册DCMI接口部分的描述,DCMI能接受的图像格式有RGB565,YCbCr,JPEG以及8/10/12/14位逐行图像,图像同步方式有硬件同步和嵌入式同步。硬件同步模式所需的输入有像素时钟,水平同步以及垂直同步信号。如下图所示:

而嵌入式同步则是通过数据中包含的32位同步码来实现,只适用于8位并行的图像输入。DCMI接口的捕获模式也分为两种,连续模式和快照模式。顾名思义,连续模式抓取连续帧的的图像而快照模式只抓取单帧的图像。另外DCMI提供多种中断方式:单行中断,帧中断,同步中断等,让用户使用的更加灵活。

在Camera的例程中,配置OV7670输出格式为RGB565,帧率15帧。相对应的,LCD 也需要进行一些设置,比如显示窗口等。另外有两个重要的地方,为了保证在DCMI连续捕捉模式下采集到的图像在LCD显示时不会移屏,通过中断DCMI_IT_VSYNC设置了一个刷屏的处理函数。就是一帧显示完毕后重新设置显示window,然后写入数据,这样看到的图像就不会出现移屏的现象。程序段如下:

void DCMI_IRQHandler(void)

{

if( DCMI_GetITStatus(DCMI_IT_VSYNC)!= RESET)

{

DCMI_ClearITPendingBit(DCMI_IT_VSYNC);

//LCD_WindowModeDisable();

LCD_SetDisplayWindow(239, 319, 240, 320);

LCD_WriteRAM_Prepare();

}

}

还有就是开发板将wakeup键设置成拍照按键,通过按键中断,将捕捉停止。

以上介绍的这些都是基本的应用,仅供参考,用户可根据自己的需要开发更加完善的应用方式。

CSR8670开发板使用说明书

CSR8670开发板 使 用 说 明 书

一、开发板资源介绍 开发板是针对蓝牙免提,蓝牙音响应用设计的一款多媒体蓝牙开发套件。开发板采用英国CSR 公司CSR8670 蓝牙芯片,可以用来开发单声道蓝牙耳机,立体声蓝牙耳机,蓝牙车载免提,蓝牙音频适配器,蓝牙虚拟串口(SPP), 蓝牙人机交互接口(HID),蓝牙文件传输(FTP)等。开发板带有USB,UART,I2C,PCM,音频输入、输出等接口,并引出PIO 和AIO 接口,方便用户扩展,进行二次开发。I开发板支持程序在线调试以及参数修改。 1、硬件资源: ◆标配CSR8670 蓝牙芯片,内置kalimba DSP ,支持蓝牙协议V4.0+EDR ◆集成16Mb FLASH ◆7个按键(1个复位键,1个开机键,5个用户按键) ◆16个PIO 接口(其中PIO6、PIO7作为I2C) ◆2个AIO 接口 ◆3个LED 指示灯

◆ 1个USB 接口 ◆ 音频输出接口 ◆ 音频输入接口 ◆ 板载麦克风 ◆ RS232 接口 ◆ SPI 调试接口 ◆ IIC 接口(PIO 复用) ◆ 64Kbit E2PROM 【注意】:板载的部分资源会因为芯片所采用的芯片的不同而未被使用到,具体请参考原理图。

二、硬件连接和使用 1、请参照上图,将下载线通过10PIN的排线和开发板连接,将MINI-USB线连接下载线并接到电脑,此时板子左上方的红色LED灯会亮,说明开发板已经正常上电。 【注意】: 1. 本开发板将VREN 开机信号单独连接到一个按键作为开机用,所以在使用bluelab或pstool连接开发板时,请务必按下改开机键不放,否则将会导致软件无法读取芯片的现象,bluelab 会提示"Unable to query BlueCore over SPI" 错误。 2. 使用bluelab下载调试程序时,请务先设置【Debug】菜单下的【Tansport】是否设置为USB,否则bluelab 将会提示"Unable to query BlueCore over SPI" 错误

stm32f303评估板手册

For further information contact your local STMicroelectronics sales office. July 2016DocID023596 Rev 41/4 STM32F3DISCOVERY Discovery kit with STM32F303VC MCU Data brief Features ?STM32F303VCT6 microcontroller featuring 256-Kbyte Flash memory, 48-Kbyte RAM in an LQFP100 package ?On-board ST-LINK/V2 for PCB version A or B or ST-LINK/V2-B for PCB version C and newer ?USB ST-LINK functions:–Debug port –Virtual COM port with ST-LINK/V2-B only –Mass storage with ST-LINK/2-B only ?Board power supply: through USB bus or from an external 3V or 5V supply voltage ?External application power supply: 3V and 5V ?L3GD20, ST MEMS motion sensor, 3-axis digital output gyroscope ?LSM303DLHC, ST MEMS system-in-package featuring a 3D digital linear acceleration sensor and a 3D digital magnetic sensor ?Ten LEDs: –LD1 (red) for 3.3V power on –LD2 (red/green) for USB communication –Eight user LEDs: LD3/10 (red), LD4/9 (blue), LD5/8 (orange) and LD6/7 (green)?Two push-buttons (user and reset)?USB USER with Mini-B connector ?Extension header for all LQFP100 I/Os for quick connection to prototype board and easy probing ?Comprehensive free software including a variety of examples, part of STM32CubeF3 package or STSW-STM32118 for legacy Standard Library usage 1.Picture not contractual. Description The STM32F3DISCOVERY allows users to easily develop applications with the STM32F3 Series based on ARM ? Cortex ?-M4 mixed-signal MCU. It includes everything required for beginners and experienced users to get started quickly.Based on the STM32F303VCT6, it includes an ST-LINK/V2 or ST-LINK/V2-B embedded debug tool, accelerometer, gyroscope and e-compass ST MEMS, USB connection, LEDs and push-buttons. The STM32F3DISCOVERY discovery board does not support the STM32F313xx MCUs (1.65V to 1.95 V power supply). https://www.wendangku.net/doc/0b17340157.html,

51开发板说明书

开发板开发板简介简介简介 硬件:供电方式采用USB 取电和外部电源(5V)供电。带有多种品牌(Atmel,Winbond,SST,STC )单片机的ISP 电路,均通过下载接口或USB 线和PC 相连,简单方便稳定,速度快。有常用的LCD 接口,数码管显示电路,等等。

一、STC单片机的程序烧写与运行 1.1 打开STC-ISP V483软件的exe 文件,如下图所示: 步骤1:选择要下载的单片机型号,如下图所示: 步骤2:打开要下载的程序文件,注意这里下载的需要是扩展名为.hex或.bin的文件,这里的图片是默认的测试文件

再双击test-hex文件夹得到以下图片:

选择twoball-2k.bin,点击打开。 步骤3:选择端口 首先把实验板通过USB延长线连接到电脑上,然后右击“我的电脑”,选择“管理”,单击设备管理器,点击端口前的加号将其展开,当发现这个时,说明驱动的安装和实验板的下载电路应该是没什么问题的,这里的可以看出端口是COM14。 其次是选择好端口,如下图所示: 步骤4:下载程序到单片机(注意的是STC的单片机需要重新给系统上电才能下载到单片机)点击下图所示的Download/下载按钮 当出现下图所示的提示时,如果实验板是在通电的情况下,则按一下实验板的开关稍等两秒左右,再按一下开关重新给实验板上电,稍等片刻就下载成功。如果实验板是在不通电的情况下,则按一下实验板的开关重新给实验板上电,稍等片刻就下载成功 下载成功的提示如下图: 下载过程中如果端口选择对的情况下,出现如下图所示: 原因在于连电脑USB插口松动。解决办法:1、重新把延长线从实验板上拔掉,然后再插上。

KR-51开发板使用说明

KR-51/AVR开发板使用说明 声明: 本指导教程和配套程序仅在开发和学习中参考,不得用于商业用途,如需转载或引用,请保留版权声明和出处。 请不要在带电时拔插芯片以及相关器件。自行扩展搭接导致不良故障,本公司不负任何责任。产品不定时升级,所有更改不另行通知,本公司有最终解释权。 一、开发板硬件资源介绍 1 .开发板支持USB 程序下载(宏晶科技STC系列单片机) 2. 开发板支持AT89S51 ,AT89S52 单片机下载(需要配合本店另外下载器下载) 3. 开发板支持ATmega16,ATmega32 AVR 单片机下载(需要配合本店另外转接板和下载器使用) 4. 开发板供电模式为:电脑USB 供电(USB 接口)和外部5V 电源供电(DC5V接口) 5. 开发板复位方式:上电复位和51按键复位 6. 外扩电源:通过排针外扩5路5V 电源,3路3.3V电源方便连接外部实验使用 7. 所有IO 引脚全部外扩,方便连接外部实验使用 8. 开发板集成防反接电路,防止接反,保护开发板 二、开发板功能模块介绍 (1 )8 位高亮度贴片led 跑马灯; (2) 4 位共阳数码管显示; (3)LCD1602 和LCD12864液晶屏接口; (4) 1 路无源蜂鸣器; (5) 1 路ds18b20 温度测量电路(与DHT11 温湿度接口共用); (6) 1 路红外接口电路 (7) 4 路独立按键 (8) 1 路CH340 USB转串口通讯电路(全面支持XP/WIN7/WIN8系统); (9)1路蓝牙模块接口(可做蓝牙测试板,USB转蓝牙); (10)1路2.4G模块接口; (11)1路WiFi模块接口(可做WiFi测试板,USB转WiFi) 三开发板跳线选择 本开发板接线简单,适合初学者使用,开发板各模块的跳线使用注意事项:烧写程序时,拔掉蓝牙模块,WiFi模块,J10处用跳线帽短接1,3和2,4。蓝牙模块和WiFi模共用串口,不能同时使用。使用1602、12864液晶接口时请拔下数码管J4 跳线帽。以下是几个主要跳线的使用说明;

路虎开发板用户手册

路虎NXP LPC1768开发板 用户手册

1、概述 路虎开发板采用 NXP公司 LPC1768 ARM是一款基于第二代 ARM Cortex-M3内核的微控制器,是为嵌入式系统应用而设计的高性能、低功耗的 32位微处理器,适用于仪器仪表、工业通讯、电机控制、灯光控制、报警系统等领域。路虎开发板板载 USB仿真器,支持 USB2.0 Device,具有双 CAN接口、RS-485接口等功能。路虎开发板配套丰富的例程和详尽的资料,方便用户快速进行项目开发。 功能特点: 强大的 MCU内核:Cortex-M3 ●处理速率高达 100MHz,并包含一个支持 8个区的存储器保护单元(MPU) ●内置嵌套向量中断控制器(NVIC) ● 512KB片上 Flash程序存储器,支持在系统编程(ISP)和在应用编程(IAP) ● 64KB SRAM可供高性能 CPU通过指令总线、系统总线、数据总线访问 ● AHB多层矩阵上具有 8通道的通用 DMA控制器(GPDMA) ●支持SSP、UART、AD/DA、定时器、GPIO等,并可用于存储器到存储器的传输 ●标准 JTAG测试/调试接口以及串行线调试和串行线跟踪端口选项 ●仿真跟踪模块支持实时跟踪 ● 4个低功率模式:睡眠、深度睡眠、掉电、深度掉电

●单个 3.3V电源(2.4V – 3.6V) ●工作温度:-40 °C - 85°C ●不可屏蔽中断(NMI)输入 ●片内集成上电复位电路 ●内置系统节拍定时器(SysTick),方便操作系统移植。 丰富的板载资源: 1、2路 RS232串行接口(使用直通串口线、其中一路串口支持 ISP下载程序) 2、2路 CAN总线通信接口(CAN收发器:SN65VHD230) 3、RS485通信接口(485收发器:SP3485) 4、RJ45-10/100M Ethernet网络接口(以太网 PHY:DP83848) 5、DA输出接口(可做 USB声卡实验、板载扬声器和扬声器输出驱动) 6、AD输入接口(可调电位器输入) 7、彩色液晶显示接口(可接 2.8寸或 3.2寸 TFT 320X240彩屏) 8、USB2.0接口,USB host及 USB Device接口。 9、SD/MMC卡(SPI)接口(提供带 FAT12、FAT16、FAT32文件系统)

STM32F407运用总结

STM32运用总结 主要分为IO口,定时器的PWM和QEI,中断,ADC,DAC和DMA介绍。在STM32的运用中第一步一般是使能相应模块的时钟,然后配置IO 口,最后配置相应的寄存器。 1.IO口 STM32的IO口非常多,而且与其它外设模块通常是复用的。在不同的外设中IO口的设置是不一样的。这一部分介绍普通的数值IO口。IO口有A-G共7组,每组16口。 1.IO口在时钟总线AHB1上,使能对应端口的时钟。在寄存 器RCC->AHB1ENR中。 2.配置IO口的模式,普通的IO口配置为普通的输入输出模式。 配置IO口是悬空还是上拉或者下拉。以上两步分别在寄存器 GPIOx->MODER和GPIOx-> PUPDR(x=A,B,C,D,E,F,G) 3.其中配置为输出模式时还要设置速度和相应的输出方式,开漏 或者推挽,以上两步分别在寄存器GPIOx-> OSPEEDR和 GPIOx->OTYPER(x=A,B,C,D,E,F,G)。 4.设置IO口的高低电平。在寄存器GPIOx->BSRRH中置相应的位 为1就是将相应的位置0,在寄存器GPIOx->BSRRL中置相应 的位为1就是将相应的位置1.另外还可以设置GPIOx_ODR寄

存器来设置输出电平以及读取GPIOx_IDR寄存器来获取输入 电平。 2.PWM STM32的定时器也非常之多,用到的主要是两个部分:用定时器产生PWM和定时触发ADC,这里一部分介绍PWM。(高级定时器的配置和这差不多,由于在STM32F103里面已经尝试过在STM32F407里面就没有再写) 1.配置IO口。我们说过STM32的外设模块主要是和IO口复用的, 因此在使用外设模块时首先配置好相应的IO口。比如使用A 口的PA1作为定时器Timer2的PWM输出。则应按照如下的步 骤来配置PA1。 1)使能A口的时钟。在寄存器RCC->AHB1ENR中。 2)配置PA1为复用功能。在寄存器GPIOA->MODER中。 3)配置PA1的上拉下拉或者悬空。在寄存器GPIOA->PUPDR中。 4)配置PA1的速度。在GPIOA->OSPEEDR中。 5)配置PA1的复用功能是和Timer2对应的。在GPIOA->AFR[0] 中。(相对应的复用对应表数据手册上有)。 2.配置定时器模块 1)使能相应的定时器模块时钟(注意不同的定时器在不同的 时钟总线上)。Timer2在APB1总线上。所以在RCC->APB1ENR 中使能Timer2.

RK3188开发板使用手册v1.0

RK3188开发板使用手册v1.0 一.安装RockUsb驱动 (2) 二.查看串口输出信息 (5) 三.烧写/下载固件 (8) 四.Kernel开发 (11) 五.Android开发 (12) 六.制作固件升级包update.img (13) 七.Recovery系统 (14) 八.Android系统USB操作 (17)

一.安装RockUsb驱动 Rockusb驱动放在RK3188\tools\RockusbDriver文件夹中 当你第一次使用RK3188SDK开发板时,接好USB线,按住“VOL+(RECOVERY)”按键上电,会要求安装驱动,按下面的图示步骤进行安装: 图1 选择“否,暂时不(T)”,点击“下一步”进入图2所示界面

图2 选择“从列表或指定位置安装(高级)”,点击下一步,进入图3界面 图3 选择你的驱动所存放的目录,点击“下一步”开始安装驱动,如图4所示

图4 完成以后可以在设备管理器看到设备已经安装成功 图5

二.查看串口输出信息 RK3188SDK开发板没有使用普通的串口,而是使用USB口来输出串口信息,你可以用一根特殊的USB调试线将开发板上的USB口连接到你的电脑中来查看串口信息。 1、在连接USB口之前,请先安装PL-2303USB转串口驱动 2、驱动安装完成后,再使用USB线将开发板上名为“UART0”的USB口连接到PC 中,然后你应该可以在设备管理器中看到一个新设备,如下所示: 3、使用串口工具查看开发板的输出信息。 在这边我以Windows自带的超级终端为例说明串口的配置: a、点击开始->所有程序->附件->通讯->超级终端 点击确定 b、选择正确的COM口:

STM32F070RB数据手册

This is information on a product in full production. January 2015 DocID027114 Rev 21/88 11 timers, ADC, communication interfaces, 2.4 - 3.6 V Datasheet - production data Features ?Core: ARM ? 32-bit Cortex ?-M0 CPU, frequency up to 48 MHz ?Memories –32 to 128 Kbytes of Flash memory – 6 to 16 Kbytes of SRAM with HW parity ?CRC calculation unit ?Reset and power management –Digital & I/Os supply: V DD = 2.4 V to 3.6 V –Analog supply: V DDA = V DD to 3.6 V –Power-on/Power down reset (POR/PDR)–Low power modes: Sleep, Stop, Standby ?Clock management – 4 to 32 MHz crystal oscillator –32 kHz oscillator for RTC with calibration –Internal 8 MHz RC with x6 PLL option –Internal 40 kHz RC oscillator ?Up to 51 fast I/Os –All mappable on external interrupt vectors –Up to 5155 I/Os with 5V tolerant capability ?5-channel DMA controller ?One 12-bit, 1.0 μs ADC (up to 16 channels)–Conversion range: 0 to 3.6 V –Separate analog supply: 2.4 V to 3.6 V ?Calendar RTC with alarm and periodic wakeup from Stop/Standby ?11 timers –One 16-bit advanced-control timer for six-channel PWM output –Up to seven 16-bit timers, with up to four IC/OC, OCN, usable for IR control decoding –Independent and system watchdog timers –SysTick timer ?Communication interfaces –Up to two I 2C interfaces –one supporting Fast Mode Plus (1 Mbit/s) with 20 mA current sink,–one supporting SMBus/PMBus.–Up to four USARTs supporting master synchronous SPI and modem control; one with auto baud rate detection –Up to two SPIs (18 Mbit/s) with 4 to 16 programmable bit frames –USB 2.0 full-speed interface with BCD and LPM support ?Serial wire debug (SWD) ?All packages ECOPACK ?2 TSSOP20 https://www.wendangku.net/doc/0b17340157.html,

51单片机开发板使用手册

STU_MAIN单片机开发板使用手册 第一章STU_MAIN 单片机开发板简介 (2) 1.1 单片机开发板概述 (2) 1.2 单片机开发板载资源介绍 (2) 1.3 STU_MAIN 单片机开发板接口说明 (4) 1.4 如何开始学习单片机 (5) 第二章软件使用方法 ......................... . (6) 2.1 KEIL 软件的使用方法 (6) 2.2 STC-ISP 软件的安装与使用 (13) 2.3 使用USB 口下载程序时设置步骤 (18) 第三章STU_MAIN 开发板例程详细介绍 (21) 3.1 准备工作 (21) 3.2 安装STC-ISP下载程序 (21) 3.3 闪烁灯 (22) 3.4 流水灯 (23) 3.5 单键识别 (25) 3.6 利用定时器和蜂鸣器唱歌 (28) 3.7 DS18B20 温度测量显示实验 (31) 3.8 LCD1602 字符液晶显示 (36) 3.9 串口通讯实验 (39) 3.10 基于DS1302的多功能数字钟实验 (41) 3.11 EEPROM X5045 实验 (47)

第一章STU_MAIN 单片机开发板简介 1.1 单片机开发板概述 STU_MAIN 单片机开发板是经过精心设计开发出的多功能MCS-51 单片 机开发平台。该开发板集常用的单片机外围资源、串口调试下载接口于一身,可以让您在最短的时间内,全面的掌握单片机编程技术。该开发板特别适合单片机初学者、电子及通信等专业的课程设计以及电子爱好者自学使用。 STU_MAIN 单片机开发板可作为单片机课程的配套设备,课程从最基本的预备知识开始讲起,非常详细的讲解KEIL 编译器的使用,包括软件仿真、测定时间、单步运行、全速运行、设置断点、调试、硬件仿真调试、变量观察等,整个过程全部用单片机的C 语言讲解,从C 语言的第一个主函数MAIN 讲起,一步步一条条讲解每一个语法、每条指令的意思,即使对单片机一巧不通,对C 语言一无所知,通过本课程的学习也可以让你轻松掌握MCS-51 单片机的C 语言编程。全新的讲课风格,跳过复杂的单片机内部结构知识,首先从单片机的应用讲起,一步步深入到内部结构,让学生彻底掌握其实际应用方法,把MCS-51单片机的所有应用、每个部分都讲解的非常清晰明了,授课教师在教室前面用电脑一条一条写程序,旁边用STU_MAIN 单片机开发板逐个实验的演示,给学生解释每条指令的意思及原理,通过一学期的学习让学生完全掌握单片机的C 语言编程及单片机外围电路设计的思想。以实践为主、学生现场写程序、直接下载到开发板观察现象。 1.2 单片机开发板载资源介绍 一. STU_MAIN单片机开发板(串口直接下载程序) 本开发板以STC 公司生产的STC90C54RD+ 单片机做核心控制芯片,它是 一款性价比非常高的单片机,它完全兼容ATMEL 公司的51/52系列单片机,除此之外它自身还有很多特点,如:无法解密、低功耗、高速、高可靠、强抗静电、强抗干扰等。 其次STC 公司的单片机内部资源比起ATMEL 公司的单片机来要丰富的多,它内部有1280 字节的SRAM、8-64K 字节的内部程序存储器、2-8K 字节的ISP 引导码、除P0-P3 口外还多P4 口(PLCC封装)、片内自带8路8位AD(AD 系列)、片内自带EEPROM、片内自带看门狗、双数据指针等。目前STC 公司的单片机在国内市场上的占有率与日俱增,有关STC 单片机更详细资料请查阅相关网站。 STU_MAIN单片机开发板可完全作为各种MCS-51单片机的开发板,用汇编语言或C 语言对其进行编程。当用STC 公司的单片机时,直接用后面介绍的串口线将开发板与计算机串口相连,按照STC 单片机下载操作教程便可下载程序,

STM32F4使用手册

January 2014DocID022256 Rev 4 1/42 Introduction The STM32F4DISCOVERY helps you to discover the STM32F407 & STM32F417 lines’ high-performance features and to develop your applications. It is based on an STM32F407VGT6 and includes an ST-LINK/V2 embedded debug tool interface, ST MEMS digital accelerometer, ST MEMS digital microphone, audio DAC with integrated class D speaker driver, LEDs, pushbuttons and a USB OTG micro-AB connector. 1.Picture not contractual https://www.wendangku.net/doc/0b17340157.html,

Contents UM1472 Contents 1Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 2Quick start . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 2.1Getting started . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 2.2System requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 2.3Development toolchain supporting the STM32F4DISCOVERY . . . . . . . . . 6 2.4Order code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 3Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 4Hardware and layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 4.1STM32F407VGT6 microcontroller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 4.2Embedded ST-LINK/V2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 4.2.1Using ST-LINK/V2 to program/debug the STM32F4 on board . . . . . . .14 4.2.2Using ST-LINK/V2 to program/debug an external STM32 application . .15 4.3Power supply and power selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 4.4LEDs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 4.5Pushbuttons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 4.6On board audio capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 4.7USB OTG supported . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 4.8Motion sensor (ST MEMS LIS302DL or LIS3DSH) . . . . . . . . . . . . . . . . . 17 4.9JP1 (Idd) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 4.10OSC clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 4.10.1OSC clock supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19 4.10.2OSC 32KHz clock supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19 4.11Solder bridges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 4.12Extension connectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 5Mechanical drawing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34 6Electrical schematics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 7Revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

最新FPGA开发板使用说明书

F P G A开发板使用说明 书

目录 第一章综述 (1) 第二章系统模块 (2) 第三章软件的安装与使用 (11) 第四章USB 电缆的安装与使用 (28) 仅供学习与交流,如有侵权请联系网站删除谢谢36

第一章综述 THSOPC-3型 FPGA开发板是根据现代电子发展的方向,集EDA和SOPC系统开发为一体的综合性实验开发板,除了满足高校专、本科生和研究生的SOPC教学实验开发之外,也是电子设计和电子项目开发的理想工具。 一、实用范围: ●自主创新应用开发; ●单片机与FPGA联合开发; ●IC设计硬件仿真; ●科研项目硬件验证与开发; ●高速高档自主知识产权电子产品开发; ●毕业设计平台; ●研究生课题开发; ●电子设计竞赛培训; ●现代DSP开发应用; ●针对各类CPU IP核的片上系统开发; ●DSP Biulder系统设计。 二、硬件配置: THSOPC-3型 FPGA开发板基于Altera Cyclone II 器件的嵌入式系统开发提供了一个很好的硬件平台,它可以为开发人员提供以下资源: ●支持+5V 电源适配器直接输入或者USB接口供电, 5V、3.3V、1.2V混合电压源; 仅供学习与交流,如有侵权请联系网站删除谢谢36

●FPGACycloneII FPGA EP2C8,40万门,2个锁相环; ●isp单片机AT89S8253。isp单片机AT89S8253及开发编程工具,MCS51兼容,12KB isp可编程Flash ROM,2KB ispEEPROM,都是10万次烧写周期;2.7-5.5V工作电压;0-24MHz工作时钟;可编程看门狗;增强型SPI串口,9个中断源等。此单片机可与FPGA联合开发,十分符合实现当今电子设计竞赛项目的功能与指标实现; ●EPM3032 CPLD; ● 4 Mbits 的EPCS4 配置芯片; ●512KB高速SRAM; ●20MHz 高精度时钟源(可倍频到300MHz); ● 4 个用户自定义按键; ●8 个用户自定义开关; ●8 个用户自定义LED; ● 2 个七段码LED; ●标准AS 编程接口和JTAG调试接口; ●两个标准2.54mm扩展接口,供用户自由扩展; ●RS-232 DB9串行接口; ●PS/2键盘接口; ●VGA接口; ●4X4键盘; 仅供学习与交流,如有侵权请联系网站删除谢谢36

stm32f407数据手册中文

1.参考 1. Stm32f4数据手册:stm32f407zgt6.pdf 2. Stm32f4中文手册:stm32f4xx中文参考手册.pdf 3.开发板示意图:Explorer stm32f4_ Vxx_ SCH.pdf 2.芯片内部资源 1.芯片图片 2.芯片参数表 3.内核 (1)32位高性能Arm Cortex-M4处理器 (2)时钟:最高168MHz,实际上比频率高一点(3)支持FPU(浮点运算)和DSP指令 4. IO端口 (1)Stm32f407zgt6:144针114 IO端口

(2)大多数IO端口可以承受5V(模拟通道除外) (3)支持调试:SWD和JTAG,SWD只需要2条数据线 5.记忆 (1)内存容量:1024k闪存,192K SRAM 6.时钟,复位和电源管理 (1)1.8?3.6V电源和IO电压 (2)上电复位和可编程掉电监控 (3)强大的时钟系统 -4?26m外部高速晶体振荡器 内部16 MHz高速RC振荡器 -内部锁相环(PLL),在PLL频率加倍后,一般系统时钟是外部或内部高速时钟-外部低速32.768k晶体振荡器,主要用作RTC时钟源 7.低功耗

(1)三种低功耗模式:睡眠,停止和待机 (2)RTC和备用寄存器可以由电池供电 8.广告 (1)3个12位AD [最多24个外部测试通道] (2)内部通道可用于内部温度测量 (3)内置参考电压 9,DA (1)两个12位Da 10,DMA (1)16个具有FIFO和突发支持的DMA通道 (2)支持的外设:定时器,ADC,DAC,SDIO,I2S,SPI,I2C和USART 11.多达17个计时器 (1)10个通用计时器(TIM2和tim5为32位)

百问网精智JZ2440开发板使用手册 S3C2440

百问网·精智JZ2440使用手册提示:除了QT外,可以不看本手册,参考《嵌入式Linux应用开发完全手册》及视频即可

第1章嵌入式Linux开发环境构建 (4) 1.1 安装Ubuntu 9.10 (4) 1.1.1 安装VMware (4) 1.1.2 安装Ubuntu 9.10 (13) 1.2 安装Ubuntu下的开发工具 (20) 1.3 安装Windows下的开发工具 (22) 第2章精智JZ2440开发板烧写程序方法 (23) 2.1 使用JTAG工具烧写开发板 (23) 2.1.1 Windows下并口JTAG驱动安装 (23) 2.1.2 Windows下OpenJTAG驱动安装 (29) 2.1.3 Ubuntu下驱动程序的安装 (29) 2.1.4 JTAG烧写软件oflash的用法 (29) 2.2 通过u-boot烧写整个系统 (29) 2.2.1 在Windows下使用dnw和u-boot烧写系统 (30) 2.2.2 在Linux下使用dnw和u-boot烧写系统 (31) 第3章板上Linux系统搭建 (33) 3.1 修改、编译、使用u-boot (33) 3.1.1 使用补丁修改、编译u-boot (33) 3.1.2 u-boot使用方法 (33) 3.2 修改、编译、使用Linux内核 (36) 3.2.1 使用补丁修改、编译内核 (36) 3.2.2 使用uImage (36) 3.3 修改、编译QT (36) 3.3.1 编译依赖的软件 (36) 3.3.2 使用补丁修改、编译QT (39) 3.4 构造根文件系统 (39) 3.4.1 基于最小根文件系统制作QT文件系统 (39) 3.4.2 制作YAFFS2、JFFS2文件系统映象文件 (42)

DL-51单片机开发板用户使用手册

STC89C52RC 动力DL-51Board○R User's Manual Preliminary

开发指南 Copyright?2010-2011Milk-Power Limited.All rights reserved 版本信息 本手册进行了以下更改。 芯达STM32用户手册修订记录 日期修订版本CR ID修改章节修改描述作者2011-10-12 1.00全部创建Milk-power 2011-10-26 1.10修改键盘程序Milk-power Milk-power 2011-11-08 1.20修改开发板外观 图片

版权声明 本手册版权归属https://www.wendangku.net/doc/0b17340157.html,(以下简称“Milk-Power”)所有,并保留一切权力。非经Milk-Power同意(书面形式),任何单位或个人不得擅自摘录本手册部分或全部,违者我们将追究其法律责任。 敬告: 在售开发板的手册会经常更新,请在https://www.wendangku.net/doc/0b17340157.html, 网站查看最近更新,并下载最新手册,不再另行通知。

目录 目录 (4) 4写在前面........................................................................................................................ ........................................................................................................................55第一章买到DL-51该如何下手 (6) 6第二章DL-51硬件资源.............................................................................................. ..............................................................................................772.1DL-51开发板硬件概述.. (7) 2.2DL-51开发板硬件资源清单 (8) 2.3DL-51开发板的特点 (9) 2.4DL-51开发板原理图说明 (10) 2.4.1电源电路 (10) 2.4.2系统时钟电路 (10) 2.4.3复位电路 (11) 2.4.4用户LED 电路 (11) 2.4.5数码管电路 (11) 2.4.6串口电路 (12) 2.4.7按键电路 (12) 2.4.8LCD 液晶接口电路 (13) 2.4.9外扩IO 接口电路 (14) 第三章DL-51单片机开发快速入门........................................................................ ........................................................................15153.1单片机开发流程简介 (15) 3.2简单的单片机开发举例 (17) 工作室简介 (18) 18

STM32F407xx芯片手册第1到3章中文翻译

1文档约定 寄存器缩写列表 下面的缩写用于描述寄存器 Read/Write(rw)软件可读写 Read-Only(r)软件只读 Write-only(w)软件只写 Read/clear(rc_w1)软件可读,写1清除,写0无作用 Read/clear(rc_w0)软件可读,写0清除,写1无作用 Read/clear by read软件可读,读后自动清零,写0无作用Read/set(rs)软件可读,可置位,写0无作用 Read-only write Trigger(rt_w)软件可读,写0或1翻转此位 Toggle(t)写1翻转,写0无作用 Reserved(Res.)保留位,必须保持复位值

2存储器和总线架构 2.1系统架构 主系统包括32位多层互联AHB总线阵列,连接以下部件: Height masters —Cortex TM-M4F内核I-Bus(指令总线),D-bus(数据总线)和S-bus(系统总线)—DMA1存储器总线 —DMA2存储器总线 —DMA2外设总线 —以太网DMA总线 —USB OTG HS DMA总线 Seven slaves —内置Flash存储器指令总线 —内置Flash存储器数据总线 —主内置SRAM1(112KB) —辅助内置SRAM2(16KB) —AHB1外设,包括AHB到APB的桥以及APB外设 —AHB2外设 —FSMC接口 总线矩阵提供从主设备到从设备的访问,即使在有若干高速外设同时运行的情况下也能并行访问并高效运转。这个架构如图1所示。 注意:64KB的CCM(内核耦合存储器core coupled memory)数据RAM并不是总线矩阵的一部分,它只能通过CPU来访问。

相关文档