文档库 最新最全的文档下载
当前位置:文档库 › 简易信号发生器单片机课程设计报告材料

简易信号发生器单片机课程设计报告材料

简易信号发生器单片机课程设计报告材料
简易信号发生器单片机课程设计报告材料

课程设计(论文)任务书

电气学院电力系统及其自动化专业12(1 )班

一、课程设计(论文)题目:简易信号发生器设计

二、课程设计(论文)工作自 2015年1 月12 日起至2015 年 1月16 日止。

三、课程设计(论文) 地点: 电气学院机房 10-303

四、课程设计(论文)内容要求:

1.课程设计的目的

(1)综合运用单片机原理及应用相关课程的理论知识和实际应用知识,进行单片机应用系统电路及程序设计,从而使这些知识得到进一步的巩固,加深和发展;(2)熟悉和掌握单片机控制系统的设计方法,汇编语言程序设计及proteus 软件的使用;

(3)通过查阅图书资料、以及书写课程设计报告可提高综合应用设计能力,培养独立分析问题和解决问题的能力。

2.课程设计的内容及任务

(1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。

(2)显示出仿真波形。

(3)通过按键选择输出波形的种类。

(4)在此基础上使输出波形的幅值可控。

3.课程设计说明书编写要求

(1)设计说明书用A4纸统一规格,论述清晰,字迹端正,应用资料应说明出处。

(2)说明书内容应包括(装订次序):题目、目录、正文、设计总结、参考文献等。应阐述整个设计内容,要重点突出,图文并茂,文字通畅。

(3)报告内容应包括方案分析;方案对比;整体设计论述;硬件设计(电路接线,元器件说明,硬件资源分配);软件设计(软件流程,编程思想,程序注释,)

调试结果;收获与体会;附录(设计代码放在附录部分,必须加上合理的注释)

(4)

学生签名:

2015年1月16 日

课程设计(论文)评审意见

(1)总体方案的选择是否正确;正确()、较正确()、基本正确()

(2)程序仿真能满足基本要求;满足()、较满足()、基本满足()

(3)设计功能是否完善;完善()、较完善()、基本完善()

(4)元器件选择是否合理;合理()、较合理()、基本合理()

(5)动手实践能力;强()、较强()、一般()

(6)学习态度;好()、良好()、一般()

(7)基础知识掌握程度;好()、良好()、一般()

(8)回答问题是否正确;正确()、较正确()、基本正确()、不正确()

(9)程序代码是否具有创新性;全部()、部分()、无()

(10)书写整洁、条理清楚、格式规范;规范()、较规范()、一般()总评成绩优()、良()、中()、及格()、不及格()

评阅人:

年月日

简易信号发生器

摘要:这次课设的主要目的自己动手做一个可以实现简易信号发生器的设计,在设计中考虑到波形可以在一定范围里的频率和幅值的调整和不同波形的变换,可以准确地将信号在示波器中显示出来。

信号发生器应用广泛,种类繁多,性能各异,分类也不尽一致。按照频率范围分类可以分为:超低频信号发生器、低频信号发生器、视频信号发生器、高频波形发生器、甚高频波形发生器和超高频信号发生器。按照输出波形分类可以分为:正弦信号发生器和非正弦信号发生器。非正弦信号发生器又包括:脉冲信号发生器、函数信号发生器、扫频信号发生器、数字序列波形发生器、图形信号发生器、噪声信号发生器等。按照信号发生器性能指标可以分为一般信号发生器和标准信号发生器。前者指对输出信号的频率、幅度的准确度和稳定度以及波形失真等要求不高的一类信号发生器。后者是指其输出信号的频率、幅度、调制系数等在一定范围内连续可调,并且读数准确、稳定、屏蔽良好的中、高档信号发生器。

此次的简易信号发生器的设计,将采用单片机编程方式,通过数模转换来实现正弦波、三角波、锯齿波、方波的输出。在程序运行中,当接收到来自外界的命令,可按实际的需要调整信号波的频率幅值及波形,需要输出某种波形时再调用相应的中断服务子程序和波形发生程序,经电路的数/模转换器和运算放大器处理后,从信号发生器的输出端口输出。

目录

一、总体方案论述 (6)

1.1任务分析 (6)

1.2方案对比 (6)

1.3系统总设计 (6)

二、硬件电路 (7)

2.1主控电路 (7)

2.2按键电路 (7)

2.3显示电路 (8)

三、软件设计 (11)

3.1正弦波 (11)

3.2方波 (13)

3.3锯齿波 (14)

3.4三角波 (15)

3.5延时程序 (16)

3.6总程序 (17)

四、系统调试结果 (18)

4.1Keil软件调试应用 (18)

4.2Proteus软件仿真过程 (18)

4.3仿真结果 (19)

五、总结与体会 (24)

5.1收获与体会 (24)

5.2不足与改进 (24)

附录 (25)

参考文献 (30)

一、总体方案论述

1.1任务分析

(1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。我们设想将频率可调功能通过按键来显示,正弦波、方波、锯齿波或三角波可以用单片机汇编语言程序写出并通过数模转换显示出来。

(2)显示出仿真波形。通过片外数模转换显示。 (3)通过按键选择输出波形的种类。可设置外部中断使得通过按键来从容地切换各种波形。

(4)在此基础上使输出波形的幅值可控。可通过按键来实现。

1.2方案对比

在设计的过程中,我们产生了如下方案:

方案一:用四个按键来代表四个波形,然后用四个按键来代表各自波形的调频功能,再用四个按键来代表各自波形的调幅功能。

方案二:用四个按键来代表四个波形,然后用一个按键来控制四个波形的频率,再用一个按键来控制除正弦波外其他波形的幅值。

通过比较两个方案,方案一器件较多,连线繁琐,方案二较方案一简单,也降低了写代码的难度。由于正弦波本身的特殊性,此次设计我们放弃了对正弦波幅值的调控。

1.3系统总设计

图1.3.1系统总图

总体设想是:将调频按键、调幅按键、波形按键作为输入信号输入到单片机内来调用各自的程序通过D/A转换器显示出来。

二、硬件设计

2.1主控电路

将总中断EA接高电平,将四个波形按键通过四端输入与门接入P3.2即外部中断0,说明此次程序设计我们要用到外部中断0了。

图2.1.1主控电路图

2.2按键电路

由图可知,正弦波按键、方波按键、三角波按键、锯齿波按键分别接入单片机的P2.1、P2.2、P2.3、P2.4,当按下去时对应的接口为低电平,即当检测到某个接口为低电平时,就调用对应的波形程序。调幅按键、调频按键分别接入单片机的P2.6、P2.5,当按下去时对应的接口为低电平,即当检测到某个接口为低电平时,就调用对应的调幅调频程序。

图2.2.1按键电路图

2.3显示电路

由图可知,单片机的P0.0~P0.7接入DAC0832的Dl0~D17并接入上拉电阻,单

片机的P2.0接入DAC0832的1、2、17、18号引脚,即此数模转换器的地址为#0FEFFH。

图2.3.1显示电路图

DAC0832芯片简介:

(1)DAC0832的特性

DAC0832是采用CMOS/Si-Cr工艺制作而成的8位D/A转换器。它可直接与AT89C51单片机相连,其主要特性如下:

①分辨率为8位。

②电流输出,建立时间为1μs。

③可双缓冲输入、单缓冲输入或直接数字输入。

④单一电源供电(+5~+15V)。

⑤低功耗,20mW。

(2)DAC0832的内部结构及外部引脚

DAC0832有一个8位输入寄存器、一个8位DAC寄存器和一个8位D/A转换器3个部分组成,它的两个寄存器实现了输入数据的两级缓冲,D/A转换器采用E-2RT 形电阻网络。

DAC0832的内部结构如图2.3.2所示。“8位输入寄存器”用于存放单片机送来的数字量,由LE2控制;“8位D/A转换器”受“8位DAC寄存器”输出的数字量控制,能输出和数字量成正比的模拟电流。因此,DAC0832通常需要外接有运算放大器组成的I/V转换电路,才能得到模拟输出电压。

图2.3.2

DAC0832采用的是双列直插式封装,其引脚布置如图2.3.3所示,其各引脚的功能如下。

DI0~DI7:8位数字信号输入端,可与单片机的数据总线PO口相连,用于接收单片机送来的待转换为模拟量的数字量。

CS:片选端,当CS为低电平时,本芯片被选中。

ILE:数据锁存允许端,高电平有

效。

WR1:第一级输入寄存器写选通控

制端,低电平有效,当CS=0,ILE=1,

WR1=0时,待转换的数据信号被锁存

到第一级8位输入寄存器中。

WR2:DAC寄存器写选通控制端,

低电平有效。当XFER=0,WR2=0时,

输入寄存器中待转换的数据传入8位

DAC寄存器中。

XFER:数据传送控制信号,低电

平有效。在XFER和WR2都有效时,LE2

上产生正脉冲,LE2的负跳变将输入

寄存器内容打入DAC寄存器。图2.3.3 V REF:基准电压输入,它与DAC内的电阻网络相连。V REF可在+10V范围内调节。

IOUT1:D/A转换器电流输出1端,输入数字量全为1时,IOUT1最大;输入数字量全为0时,IOUT1最小。

IOUT2:D/A转换器电流输出2端,IOUT2+IOUT1=常数。

Rfb:外部反馈信号输入端,内部已有反馈电阻Rfb,根据需要也可外接反馈电阻。

VCC:电源输入端。

DGND:数字信号地。

AGND:模拟信号地,最好与基准电压共地。

本次使用的单缓冲方式。如图

2.3.4所示,由于DAC0832的CS和

XFER都与P2.0相连,故DAC0832

的输入寄存器和DAC寄存器地址都

为FEFFH。而WR1和WR2同时与

AT89C51的WR引脚相连,因此,

AT89C51单片机指向如下两条指令

就可在CS和XFER上同时产生低电

平信号,并在WR1和WR2端同时得

到来自WR的负脉冲,进而是

DAC0832接收AT89C51送来的数字量。图2.3.4 MOV DPTR,#0FEFFH ;DAC端口地址FEFFH DPTR

MOV @DPTR,A ;启动D/A转换。

三、软件设计

3.1正弦波

通过64个16进制的立即数所组成的点来显示正弦波形,这64个点可通过查表

方式获取。

图3.1.1正弦波程序流程图

正弦波程序:

ZX: MOV R3,#00H

LOOP0:MOV A,R3

MOV DPTR,#TABLE //查表

MOVC A,@A+DPTR

MOV DPTR,#0FEFFH //定义DAC0832地址

MOVX @DPTR,A //读数据

INC R3

CJNE R3,#255,LOOP0

MOV R3,#00H

AJMP ZX

//产生正弦波代码

TABLE:DB080h,083h,086h,089h,08ch,090h,093h,096h,099h,09ch,09fh,0a2h,0a5h ,0a8h,0abh,0aeh

DB0b1h,0b3h,0b6h,0b9h,0bch,0bfh,0c1h,0c4h,0c7h,0c9h,0cch,0ceh,0d1h,0d 3h,0d5h,0d8h

DB0dah,0dch,0deh,0e0h,0e2h,0e4h,0e6h,0e8h,0eah,0ebh,0edh,0efh,0f0h,0f 1h,0f3h,0f4h

DB0f5h,0f6h,0f8h,0f9h,0fah,0fah,0fbh,0fch,0fdh,0fdh,0feh,0feh,0feh,0f fh,0ffh,0ffh

DB0ffh,0ffh,0ffh,0ffh,0feh,0feh,0feh,0fdh,0fdh,0fch,0fbh,0fah,0fah,0f 9h,0f8h,0f6h

DB0f5h,0f4h,0f3h,0f1h,0f0h,0efh,0edh,0ebh,0eah,0e8h,0e6h,0e4h,0e2h,0e 0h,0deh,0dch

DB0dah,0d8h,0d5h,0d3h,0d1h,0ceh,0cch,0c9h,0c7h,0c4h,0c1h,0bfh,0bch,0b 9h,0b6h,0b3h

DB0b1h,0aeh,0abh,0a8h,0a5h,0a2h,09fh,09ch,099h,096h,093h,090h,08ch,08 9h,086h,083h

DB080h,07dh,07ah,077h,074h,070h,06dh,06ah,067h,064h,061h,05eh,05bh,0 58h,055h,052h

DB04fh,04dh,04ah,047h,044h,041h,03fh,03ch,039h,037h,034h,032h,02fh,02 dh,02bh,028h

DB026h,024h,022h,020h,01eh,01ch,01ah,018h,016h,015h,013h,011h,010h,00 fh,00dh,00ch

DB00bh,00ah,008h,007h,006h,006h,005h,004h,003h,003h,002h,002h,002h,0 01h,001h,000h

DB000h,000h,001h,001h,002h,002h,002h,003h,003h,004h,005h,006h,006h,00 7h,008h,00ah

DB00bh,00ch,00dh,00fh,010h,011h,013h,015h,016h,018h,01ah,01ch,01eh,02 0h,022h,024h

DB026h,028h,02bh,02dh,02fh,032h,034h,037h,039h,03ch,03fh,041h,044h,04 7h,04ah,04dh

DB04fh,052h,055h,058h,05bh,05eh,061h,064h,067h,06ah,06dh,070h,074h,07 7h,07ah,07dh

3.2方波

分别设大数值和小数值作为方波的上限电平数值和下限电平数值,并分别延时

图3.2.1方波程序流程图

方波程序:

FB: MOV DPTR,#0FEFFH

MOV A,#0CCH //方波高电平幅值

MOVX @DPTR,A

LCALL D200US //延时

MOV A,#5 //方波低电平幅值

MOVX @DPTR,A

LCALL D200US //延时

AJMP FB

3.3锯齿波

输入数字量从0开始,逐次加1进行D/A转换。当(A)等于某个值时,将(A)清

图3.3.1锯齿波程序流程图

锯齿波程序:

JUC: MOV R3,#00H

LOOP11:MOV A,R3

MOV DPTR,#0FEFFH

MOVX @DPTR,A

INC R3

MOV A,R3

CJNE A,#0FFH,LOOP11 //与最高值比较

AJMP JUC

3.4三角波

输入数字量从0开始,逐次加1进行D/A转换。当(A)等于指定值时,逐次减1进行D/A转换直至为零,再重复上述内容,如此循环,便成三角波。

图3.4.1三角波程序流程图

三角波程序:

SJ: MOV R3,#00H

LOOP6:MOV A,R3

MOV DPTR,#0FEFFH

MOVX @DPTR,A

INC R3

MOV A,R3

CJNE A,#0FFH,LOOP6 //与给定值比较 LOOP9:DEC R3

MOV A,R3

MOV DPTR,#0FEFFH

MOVX @DPTR,A

CJNE R3,#00H,LOOP9//与最小值比较 AJMP SJ

3.5延时程序

延时200μS计算式:1+1+(50*2+2)*2=206

程序:

D200US:MOV R1,#2 //200μs延时 MOV R2,#50

L5: DJNZ R2,$

DJNZ R1,L5

RET

延时50μS计算式:1+1(23*1+1)*2=50

程序:

D50US:MOV R1,#1 //50μs延时

MOV R2,#23

L6: DJNZ R2,$

DJNZ R1,L6

RET

图3.6.1总程序流程图

总程序:见附录

四、系统调试结果

4.1Keil软件调试应用

1、

图4.1.1

图4.1.2

因为SJMP JUC在第26行,JUC程序在第102行,超出了SJMP所执行的地址范围。改正:将SJMP改为LJMP。

2、设置函数的标号时,由于整个程序设置的标号数量比较多,则经常会出现设置标号错误或者设置重复的问题,使得调试的结果无法达到自己预先设计好的结果执行。

3、指令代码的输入格式错误,在编程的过程中,写了一句指令。CJNE A,R7,LOOP11,编译时报错,出现“EXPRESSION TYPE DOES NOT MATCH INSTRUCTION”。则表明所写指令的格式发生错误。

4.2Proteus软件仿真过程

按照原理图画出芯片、接线。

图4.2.1

接入代码:在Keil上运行代码,代码运行无误会生成HEX文件,然后再protues 上双基单片机模型,会弹出一个如下对话框。点击文件按钮,选择所需要的程序文件(.HEX)。选择合适的工作频率即可确

认。然后运行调试

图4.2.2

4.3仿真结果

正弦波正常波形:

图4.3.1

正弦波调频后的波形:

图4.3.2 方波正常波形:

图4.3.3

方波调频后波形:

图4.3.4

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

单片机课程设计报告模板资料

哈尔滨远东理工学院 课题名称 专业班级 学号 学生姓名 指导教师 2015年10月14日

1、例举设计过程中遇到的问题及其解决方法(至少两例)。答:(1)问题说明: 解决方法: (2)问题说明: 解决方法: 2、教师现场提的问题记录在此(不少于2个问题)。

目录 1 设计任务 (1) 2设计方案 (2) 2.1任务分析 (2) 2.2方案设计 (2) 3 系统硬件设计 (3) 3.1时钟电路设计 (3) 3.2复位电路设计 (3) 3.3 灯控制电路设计 (3) 3.4 倒计时显示电路设计 (4) 3.5 按键控制电路设计 (5) 4 系统软件设计 (6) 4.1 1S定时 (6) 4.2 定时程序流程 (6) 4.3交通灯的设计流程图 (6) 4.4定时器0 及中断响应 (7) 5仿真与性能分析 (8) 6心得体会 (9) 参考文献 (10) 附录1 系统原理图 .......................................................................错误!未定义书签。附录2 系统PCB图 .....................................................................错误!未定义书签。附录3 程序清单 .. (11) II

1 设计任务 支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。如图1.1所示。设东西向为主干道,南北为支干道。 1. 基本要求 (1) 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 (2) 主、支干道均有车时,两者交替允许通行,主干道每次放行30秒,支干道每次放行20秒,设立30秒、20秒计时、显示电路。 (3) 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡。黄灯亮时,原红灯按1Hz 的频率闪烁。 (4) 要求主支干道通行时间及黄灯亮的时间均可在0~99秒内任意设置。 2. 选做 (1) 可设置紧急按钮,在出现紧急情况时可由交警手动实现全路口车辆禁行而行人通行状态,即主干道和支干道均为红灯亮。 (2) 实现绿波带。所谓‘绿波带’,是指在一定路段,只要按照规定时速,就能一路绿灯畅行无阻。“绿波带”将根据道路车辆行驶的速度和路口间的距离,自动设置信号灯的点亮时间差,以保证车辆从遇到第一个绿灯开始,只要按照规定速度行驶,之后遇到的信号灯将全是绿灯。

高频实验函数信号发生器设计报告

目录设计 1 .设计指标 2. 设计目的 二. 总电路及原理 三. 各部分组成及原理 1. 原理框图 2. 方波发生电路 3. 三角波产生电路 4. 正弦波电路 四. 实物图 五?原件清单 六.心得体会

设计指标 1) 可产生方波、三角波、正弦波。并测试、调试、组装。 2) 方波幅值<=24V且频率可调在10hz-10khz三角波幅值可调为8V, 正弦波幅值可调为2V 3) 使用741芯片完成此电路 4) 电路焊接美观大方,走线布局合理 设计目的 1) .掌握电子系统的一般设计方法 2) .掌握模拟IC器件的应用 3) .培养综合应用所学知识来指导实践的能力 4) .掌握常用元器件的识别和测试 5) .熟悉常用仪表,了解电路调试的基本方法 二.总电路及原理 由RC构成振荡电路,反相滞回比较器产生矩形波,两者构成方波发生电路,方波经积分器产生三角波,三角波由滤波器产生正弦波,两级滤波产生更好的正弦波。

三?各部分组成及原理原理框图方波发生电路三角波正弦波1.方波发生电路

电路简介 方波发生电路主要由两部分构成 1?反相输入滞回比较器 2.RC振荡电路 若开始滞回比较器输出电压为U1,此时运放同相输入端电压为UP 二U1*R3(R3+R4同时U1通过R2对电容充电,当电容电压达到同相端的电压时输出电压变为-U1,同时同相端电压变为-UP, 由于电容电压大于输出端电压所以电容通过R1放电,当电容电压 等于-UP时输出电压又变为U1,同相端电压变为UP,此时输出电压通过R1对电容进行充电,整个过程不断重复形成自激振荡,由于电容充电时间与放电时间相同,故占空比为50%,形成方波。 利用一阶电路的三要素法列方程求得振荡周期为 T=2R1C5i n(1+2F/R4) 运放采用双电源+12V、-12V输出正弦波幅值为14V左右 注意事项 电路中的稳压管可以起到调节电压幅值并稳定电压的作用,经运放输出端接的R2可以起到稳定波形的作用,但不宜过大,此电路中应不超过500?。另外由于运放为741芯片,故波的频率不会很高,此电路应为一个低频电路。 调节R4R3的比值,C5,R1的阻值均可以调节电路的频率,但要调节幅值的同时不改变波的频率就只能通过稳压管调节,此为电路的缺陷之一

函数信号发生器课程设计报告书

信号发生器 一、设计目的 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力 和综合分析问题、解决问题的能力。 2.基本掌握常用电子电路的一般设计方法,提高电子电路的 设计和实验能力。 3.学会运用Multisim10仿真软件对所作出的理论设计进行 仿真测试,并能进一步完善设计。 4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路 调试的基本方法。 二、设计容与要求 1.设计、组装、调试函数信号发生器 2.输出波形:正弦波、三角波、方波 3.频率围:10Hz-10KHz围可调 4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V 三、设计方案仿真结果 1.正弦波—矩形波—三角波电路 原理图:

首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。 正弦—矩形波—三角波产生电路: 总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。左边第一个运放与RC串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形: 调频和调幅原理 调频原理:根据RC 振荡电路的频率计算公式 RC f o π21 = 可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。 调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。其最大幅值为电路的输出电压峰值,最小值为0。 RC 串并联网络的频率特性可以表示为 ) 1(311112 1 2 RC RC j RC j R C j R RC j R f Z Z Z U U F ωωωωω-+=++++=+= = ? ? ? 令,1 RC o =ω则上式可简化为) ( 31 ω ωωωO O j F -+ = ? ,以上频率特性可 分别用幅频特性和相频特性的表达式表示如下:

智能小车单片机课程设计报告

题目: 智能小车设计 打开命令行终端的快捷方式: ctr+al+t:默认的路径在家目录 ctr+shift+n:默认的路径为上一次终端所处在的路径. linux@ubuntu:~$ linux:当前登录用户名. ubuntu:主机名 :和$之间:当前用户所处在的工作路径. windows下的工作路径如C:\Intel\Logs linux下的工作路径是:/.../..../ ~:代表的是/home/linux这个路径.(家目录). ls(list):列出当前路径下的文件名和目录名. ls -a(all):列出当前路径下的所有文件和目录名,包括了隐藏文件. .:当前路径 ..:上一级路径 ls -l:以横排的方式列出文件的详细信息 total 269464(当前这个路径总计所占空间的大小,单位是K) drwxr-xr-x 3 linux linux 4096 Dec 4 19:16 Desktop 第一个位置:代表的是文件的类型. linux系统下的文件类型有以下几种. b:块设备文件 c:字符设备文件 d:directory,目录 -:普通文件. l:连接文件. s:套接字文件. p:管道文件. rwxr-xr-x:权限 r:读权限-:没有相对应的权限 w:写权限

x:可执行权限 修改权限: chmod u-或者+r/w/x 文件名 chmod g-或者+r/w/x 文件名 chmod o-或者+r/w/x 文件名 第一组:用户权限 第二组:用户组的权限 第三组:其他用户的权限. chmod 三个数(权限) 文件名 首先根据你想要的权限生成二进制数,再根据二进制数转换成十进制的三位数 rwxr-x-wx 111101011 7 5 3 chmod 753 文件名 rwx--xr-x 第二个位置上的数字:对应目录下的子文件个数,如果是非目录,则数字是1 第三个位置:用户名(文件创造者). 第四个位置:用户组的名字(前边的用户所处在的用户组的名字). 第五个位置:对应文件所占的空间大小(单位为b) 第六~八个位置:Dec 4 19:16时间戳(最后一次修改文件的时间) 最后一个位置:文件名 操作文件: 1.创建一个普通文件:touch 文件名 2.删除一个文件:rm(remove) 文件名 3.新建一个目录:mkdir(make directory) 目录名 递归创建目录:mkdir -p 目录1/目录2/目录3 4.删除一个目录:rmdir 目录名.//仅删除一个空目录 rm -rf 目录名//删除一个非空目录 5.切换目录(change directory):cd 路径 linux下的路径分两种 相对路径:以.(当前路径)为起点. 绝对路径:以/(根目录)为起点, 用相对路径的方式进入Music:cd ./Music 用绝对路径的方式进入Desktop:cd /home/linux/Desktop 返回上一级:cd ..

函数信号发生器设计报告

函数信号发生器设计报告 一、 设计要求 设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求: (1) 输出波形工作频率范围为2HZ ~200KHZ ,且连续可调; (2) 输出频率分五档:低频档:2HZ ~20HZ ;中低频档:20HZ ~200HZ ; 中频档:200HZ ~2KHZ ;中高频档:2KHZ ~20KHZ ;高频档:20KHZ ~200KHZ 。 (3) 输出带LED 指示。 二、 设计的作用、目的 1. 掌握函数信号发生器工作原理。 2. 熟悉集成运放的使用。 3. 熟悉Multisim 软件。 三、 设计的具体实现 3.1函数发生器总方案 采用分立元件,设计出能够产生正弦波、方波、三角波信号的各个单元电路,利用Multisim 仿真软件模拟,调试各个参数,完成单元电路的调试后连接起来,在正弦波产生电路中加入开关控制,选择不同档位的元件,达到输出频率可调的目的。 总原理图:

3.2单元电路设计、仿真 Ⅰ、RC桥式正弦波振荡电路 图1:正弦波发生电路 正弦波振荡器是在只有直流供电、不加外加输入信号的条件下产生正弦波信号的电路。 正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路、反馈网络、选频网络、稳幅电路四个部分。根据选频电路回路的不同,正弦波振荡器可分为RC正弦波振荡器、LC正弦波振荡器和石英晶体振荡器。其中,RC正弦波振荡器主要用于产生中低频正弦波,振荡频率一般小于1MHz,满足本次设计要求,故选用RC 正弦波振荡器。

单片机课程设计报告

课程设计报告 课程名称单片机原理及应用 设计题目电子琴的设计 专业班级自动化1142 姓名周太永 学号1104421242 指导教师蔡长青张卓 起止时间2014.6.23-2014.7.11 成绩评定 考核内容设计 表现 设计 报告 答辩 综合 评定 成绩 电气与信息学院

2013/2014学年第二学期 《单片机控制系统设计与调试》课程设计任务书 指导教师:蔡长青班级:自动化1141、2班 地点:机房、单片机实验室(实训中心415) 课程设计题目:基于单片机原理的电子琴设计 一、课程设计目的 1.灵活运用单片机的基础知识,依据课程设计内容,能够完成从硬件电路图设计, 到PCB制版,再到软件编程及系统调试实现系统功能,完成课程设计,加深对单片机基础知识的理解,并灵活运用,将各门知识综合应用。 2.能够上网查询器件资料,培养对新知识新技术的独立的学习能力和应用能力。 3.独立完成一个小的系统设计,从硬件设计到软件设计,增强分析问题、解决问 题的能力,为日后的毕业设计及科研工作奠定良好的基础。 二、课程设计内容(包括技术指标) 1.焊接。认真、仔细,避免缺焊、漏焊。 2.频率计算。会计算脉冲值与频率的关系。 3.工作过程。开机时,第一步是对定时器T0进行初始化,设定它的工作状态(对 于本系统将T0设定为工作方式0);然后判断是否有键按下,如果没有按键按下,继续判断,如果有按键按下,则判断是哪个键按下;再根据按键的功能将计数初值装入定时器T0中中并启动T0,当T0定时完毕后,重新装入计数初值继续定时并将P3.3取反,再次定时完毕后再一次的装入计数初值 继续定时并将P3.3取反,一直循环此操作直到按键释放为止,按键释放后 停止T0工作并再次判断是否又有按键按下,并继续执行以前的过程。 三、时间安排 1.布置任务、查资料1天 2.硬件电路图设计及PCB制版3天 3.硬件电路图及PCB制版验收、电路板焊接1天 4.软件编程设计3天 5.系统调试3天 6.调试验收1天 7.完成设计报告3天 四、基本要求 1.画出硬件电路图,完成PCB制版; 2.画出软件流程图,编写程序(C51语言/汇编语言); 3.完成系统调试; 4.提交设计报告。

简易矩形波发生器报告

数字电路设计研讨 --简易矩形波信号发生器 姓名:尹晨洋 学号:13211023 班级:通信1301 同组成员:程永涛 学号:13211007 指导老师:任希

目录 一、综述************************************************************ 1 二、电路元件结构及工作原理***************************** 1 1)、555计数器******************************************************** 1 2)、74ls160同步计数器************************************************ 2 3)、74ls175 4位寄存器************************************************* 4三、频率可调的矩形波发生器***************************** 4 1)、频率可调的矩形波发生器电路图仿真电路图******************************* 4 2)、频率可调的矩形波发生器工作原理分析*********************************** 4 3)、仿真结果分析******************************************************** 5四、可显示频率计数器***************************************** 6 1)、可显示频率计数器仿真电路图******************************************** 6 2)、工作原理分析********************************************************* 6 3)、仿真结果分析********************************************************** 7 4)、实验误差************************************************************** 9 五、总结与体会************************************************** 9 六、参考文献*******************************************************

DDS信号发生器 实验报告

H a r b i n I n s t i t u t e o f T e c h n o l o g y EDA技术高级应用 实验报告 姓名:禾小鬼 同组人: 学号:16S 班级:信息2班 指导教师:xxx 院系:电信学院

实验一函数信号发生器 一、实验内容 实验内容包括下面两个方面 1.熟悉quartus ii开发环境 第一次接触quartus ii开发环境,首先可以通过新建一个工程熟悉quartus ii的各种基本操作。需要学习的包括以下几个方面:选器件,采用原理图方法画一个电路图实现某种功能,并对这个功能进行行为仿真以验证功能上的正确性。 2.设计一个函数信号发生器 在开始之前,首先要明确设计目的,我们的想要用电路图方法实现设计一个“函数信号发生器”。然后,可以先根据自己的思路想好一个电路图的设计方案,再开始实验。 二实验结果 1.第一步:建立一个新的工程 新建工程的过程中,最重要的是设置器件,不同的器件的设计之间并不兼容。会有一个综合的信息框,注明了我所做的设置,看看没问题就可以了。然后新建一个原理图文件schematic,作为顶层文件,将顶层文件命名为DDS在上面进行画图。 2.第二步:画电路图 本次实验采用软件自带的器件库MegaWizard Plug-in Manager中的器件。自定义3个ROM,并将ROM表中存储事先准备好的三种波形的数据文件,波形数据文件由matlab产生,ROM中存储8bit-32words的数据,包括一个时钟输入,一个5位地址输入和一个7位输出;还需要一个5位计数器,用以输出读取ROM 的地址;一个时钟控制整个电路工作; 我画的电路图,如图1所示。其原理为:三个ROM表存储三种波形数据,整个电路通过时钟控制,时钟每翻转一次,计数器加一,产生一个地址,输入到

单片机课程设计报告模板

单片机系统课程设计报告 专业:自动化 学生姓名: 学号: 指导教师: 完成日期:2011 年 3 月17 日

目录 1 设计任务和性能指标 (3) 1.1设计任务............................................................................ 错误!未定义书签。 2 设计方案 (4) 2.1任务分析 (4) 2.2方案设计 (4) 3 系统硬件设计 (5) 3.1时钟的电路设计 (5) 3.2复位电路设计 (5) 3.3灯控电路设计 (5) 3.4倒计时电路设计 (6) 3.5按键控制电路设计 (7) 4 系统软件设计 (8) 4.11秒定时 (8) 4.2定时程序流程 (8) 4.3交通灯的设计流程图 (9) 4.4定时器0与中断响应 (10) 5 仿真及性能分析 (10) 5.1仿真结果图 (11) 5.2仿真结果与分析 (12) 6 心得体会 (13) 参考文献 (14) 附录1 系统原理图 (15) 附录2 系统PCB图 .................................................................. 错误!未定义书签。附录3 程序清单 (17)

1.1设计任务 利用单片机完成交通信号灯控制器的设计,该交通信号灯控制器由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。如图5.1所示。设东西向为主干道,南北为支干道。 图5.1 交通灯示意图 1. 基本要求 (1) 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干 道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 (2) 主、支干道均有车时,两者交替允许通行,主干道每次放行30秒, 支干道每次放行20秒,设立30秒、20秒计时、显示电路。 (3) 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡。 黄灯亮时,原红灯按1Hz 的频率闪烁。 (4) 要求主支干道通行时间及黄灯亮的时间均可在0~99秒内任意设置。 2. 选做 (1) 可设置紧急按钮,在出现紧急情况时可由交警手动实现全路口车辆 禁行而行人通行状态,即主干道和支干道均为红灯亮。 (2) 实现绿波带。所谓‘绿波带’,是指在一定路段,只要按照规定时速, 就能一路绿灯畅行无阻。“绿波带”将根据道路车辆行驶的速度和路口间的距离,自动设置信号灯的点亮时间差,以保证车辆从遇到第一个绿灯开始,只要按照规定速度行驶,之后遇到的信号灯将全是绿灯。 南 北 东 西

简易信号发生器单片机课程设计报告

课程设计(论文)任务书 电气学院电力系统及其自动化专业12(1 )班 一、课程设计(论文)题目:简易信号发生器设计 二、课程设计(论文)工作自 2015年1 月12 日起至2015 年 1月16 日止。 三、课程设计(论文) 地点:电气学院机房 10-303 四、课程设计(论文)内容要求: 1.课程设计的目的 (1)综合运用单片机原理及应用相关课程的理论知识和实际应用知识,进行单片机应用系统电路及程序设计,从而使这些知识得到进一步的巩固,加深和发展;(2)熟悉和掌握单片机控制系统的设计方法,汇编语言程序设计及proteus 软件的使用; (3)通过查阅图书资料、以及书写课程设计报告可提高综合应用设计能力,培养独立分析问题和解决问题的能力。 2.课程设计的内容及任务 (1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。 (2)显示出仿真波形。 (3)通过按键选择输出波形的种类。 (4)在此基础上使输出波形的幅值可控。

3.课程设计说明书编写要求 (1)设计说明书用A4纸统一规格,论述清晰,字迹端正,应用资料应说明出处。(2)说明书内容应包括(装订次序):题目、目录、正文、设计总结、参考文献等。应阐述整个设计内容,要重点突出,图文并茂,文字通畅。 (3)报告内容应包括方案分析;方案对比;整体设计论述;硬件设计(电路接线,元器件说明,硬件资源分配);软件设计(软件流程,编程思想,程序注释,) 调试结果;收获与体会;附录(设计代码放在附录部分,必须加上合理的注释)(4) 学生签名: 2015年1月16 日 课程设计(论文)评审意见 (1)总体方案的选择是否正确;正确()、较正确()、基本正确()(2)程序仿真能满足基本要求;满足()、较满足()、基本满足()(3)设计功能是否完善;完善()、较完善()、基本完善()(4)元器件选择是否合理;合理()、较合理()、基本合理()(5)动手实践能力;强()、较强()、一般()(6)学习态度;好()、良好()、一般()(7)基础知识掌握程度;好()、良好()、一般()(8)回答问题是否正确;正确()、较正确()、基本正确()、不正确() (9)程序代码是否具有创新性;全部()、部分()、无() (10)书写整洁、条理清楚、格式规范;规范()、较规范()、一般()总评成绩优()、良()、中()、及格()、不及格() 评阅人:

基于MATLAB的数字信号发生器报告

基于MATLAB的数字信号发生器设计报告 摘要:数字信号发生器是基于软硬件实现的一种波形发生仪器。在工工程实践中需要检测和分析的各种复杂信号均可分解成各简单信号之和,而这些简单信号皆可由数字信号发生器模拟产生,因此它在工程分析和实验教学有着广泛的应用。MATLAB是一个数据分析和处理功能十分强大的工程实用软件,他的数据采集工具箱为实现数据的输入和输出提供了十分方便的函数和命令,在数字信号处理方面方便实用。本文介绍了使用MATLAB建立一个简单数字信号发生器的基本流程,并详细叙述了简单波形(正弦波、方波、三角波、锯齿波、白噪声)信号的具体实现方法。 关键字:MATLAB ,数字信号发生器 1概述 随着计算机软硬件技术的发展,越来越多现实物品的功能能够由计算机实现。信号发生器原本是模拟电子技术发展的产物,到后来的数字信号发生器也是通过硬件实现的,本文将给出通过计算机软件实现的数字信号发生器。 信号发生器是一种常用的信号源,广泛应用于电子技术实验、自控系统和科学研究等领域。传统的台式仪器如任意函数发生器等加工工艺复杂、价格高、仪器面板单调、数据存储、处理不方便。以Matlab

和LabVlEW 为代表的软件的出现,轻松地用虚拟仪器技术解决了这些问题。 Matlab 是一个数据分析和处理功能十分强大的工程实用软件,他的数据采集工具箱(data acquisition toolbox )为实现数据的输入和输出提供了十分方便的函数和命令,利用这些函数和命令可以很容易地实现对外部物理世界的信号输出和输入。根据声卡输出信号的原理,采用Matlab 软件编程,可以方便地输出所需要的正弦波、三角波、方波等多种信号,有效地实现信号发生器的基本功能。 2 设计原理 要设计的数字信号有正弦信号、方波信号、三角波、锯齿波、白噪声、脉冲信号。其中,前五种波形都可以利用MATLAB 提供的函数实现,并根据输入的幅值、相位、频率等信息进行调整。脉冲信号由自己编写程序实现,并以定义的时间节点控制脉冲出现的时刻。 2.1 正弦信号的实现 正弦波信号的数学表达式如2.1, ()sin 2y A ft πφ=+ 2.1 其中:A 为幅值; f 为频率; φ为相位。 在MATLAB 中,相应的数字信号可以由下式2.2计算,

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

信号发生器实验报告(DOC)

信号发生器 F组 组长:*** 组员:***、*** 2013年8月12日星期一

1系统方案 (4) 1.1系统方案论证与选择 (4) 1.2方案描述 (4) 2理论分析与计算 (5) 3电路与程序设计 (6) 3.1电路的设计 (6) 3.1.1 ICL8038模块电路 (6) 3.1.2 放大电路 (6) 3.2程序的设计 (7) 4测试方案与测试结果 (9) 4.1测试仪器与结果 (9) 4.2调试出现的问题及解决方案 (9) 5 小结 (10)

本系统设计的是信号发生器,是以 ICL8038和 STC89C51为核心设计的数控及扫频函数信号发生器。ICL8038作为函数信号源结合外围电路产生占空比和频率可调的正弦波、方波、三角波;该函数信号发生器的频率可调范围1~100kHz,波形稳定,无明显失真。单片机控制LCD12864液晶显示频率、频段和波形名称。 关键字:信号发生器ICL8038、 STC89C51、波形、LCD12864

信号发生器实验报告 1系统方案 1.1系统方案论证与选择 方案一:由单片机内部产生波形,经DAC0832输出,然后再经过uA741放大信号后,最后经过CD4046和CD4518组成的锁相环放大频率输出波形,可是输出的波形频率太低,达不到设计要求。 方案二:采用单片机对信号发生器MAX038芯片进行程序控制的函数发生器,该发生器有正弦波、三角波和方波信号三种波形,输出信号频率在0.1Hz~100MHz 范围内。MAX038为核心构成硬件电路能自动地反馈控制输出频率,通过按键选择波形,调节频率,可是MAX038芯片价格太高,过于昂贵。 方案三:利用芯片ICL8038产生正弦波、方波和三角波三种波形,根据电阻和电容的不同可以调节波形的频率和占空比,产生的波形频率足够大,能达到设计要求,而且ICL8038价格比较便宜,设计起来成本较低。 综上所述,所以选择第三个方案来设计信号发生器。 1.2方案描述 本次设计方案是由ICL8038 芯片和外围电路产生三种波形,由公式: ,改变电阻和电容的大小可以改变波形的频率,有开关控制频段和波形并给单片机一个信号,由单片机识别并在LCD液晶屏上显示,电路的系统法案框图为下图1所示: 图1 总系统框图

信号发生器调研报告

毕业设计(论文)调研报告 学生姓名汤代月专业班级通信工程2012级1班 所在院系_________________ 电气工程系______________________ 指导教师___________ 职称_______________________ 讲师__________ 所在单位__________________ 电子电路教研室_____________________ 完成日期2015 年3月13日

调研报告 信号发生器是现代电子技术发展的重要成果,又称信号源或振荡器,各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途,也是应用最广泛的电子仪器之一。信号发生器是能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。 信号发生器在测试、研究或调整电子电路及设备时,为测定电路的一些电参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所定技术条件的电信号,以模拟在实际工作中使用的待测设备的激励信号。当要求进行系统的稳态特性测量时,需使用振幅、频率已知的正弦信号源。当测试系统的瞬态特性时,又需使用前沿时间、脉冲宽度和重复周期已知的矩形脉冲源。并且要求信号源输出信号的参数,如频率、波形、输出电压或功率等,能在一定范围内进行精确调整,有很好的稳定性。有输出指示信号源可以根据输出波形的不同,划分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。 一?课题的来源及意义 近年来由于电子器件的发展以及数字化微处理器技术的发展,信号发生器有了迅 速的发展,出现了合成信号发生器、程控信号发生器等新种类。各类信号发生器的性能指标也都有了大幅度提高,据调查得知,在低价格、高时钟频率、高性能的新一代DDS'可世后,以后信号发生器的发展不可估量!信号发生器应用己经遍及国民经济的各个领域,深入了人们的日常生活。增加课题应用技术的论述,所以我选择利用FPG/实现信号发生器的设计 我作为新时代大学生中的一员,在学习了通信工程专业知识后,又加入了WNC 企业中实习。实物接触应用机会多了,对信号发生器了解日渐加深,我想把理论知识

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

单片机课程设计报告书模板

. .. . .. .. 西南科技大学 2011级微机原理与接口技术 课程设计报告 课题名称微机原理与接口技术 姓名 学号 院、系、部制造科学与工程学院 专业 指导教师 2014年月日

目录 一、绪言 (1) 二、系统设计 (1) 2.1系统整体流程图 (1) 2.2日历时钟的控制方案论证 (1) 2.3单片机的选择方案论证 (2) 2.4键盘选择方案论证 (2) 2.5显示模块的选择方案论证 (2) 2.6模块的选择方案论证 (2) 三、硬件电路设计 (2) 3.1日历时钟的控制电路图 (2) 3.2行列式键盘的设计 (3) 3.3数码管显示电路的设计 (3) 3.4蜂鸣器驱动电路的设计 (4)

3.5主要元器件选择 (4) 四、程序流程图 (5) 五、c语言程序设计 (5) 六、日历时钟的控制器仿真 (19) 6.1K e i l调试 (19) 6.2P r o t e u s调试 (19) 七、结束语 (20) 八、参考文献 (21) 1、绪言 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月异更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。电子时钟是现代社会中的主要计时工具之一,广泛应用于手机,电脑,汽车等社会生活需要的各个方面,及对时间有要求的场合。本设计采用AT89C51单片机作为主要核心部件,附以上电复位电路,时钟电路及按键调时电路组成。数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。

实验1 示波器函数信号发生器的原理及使用(实验报告之实验数据表)

实验1 示波器、函数信号发生器的原理及使用 【实验目的】 1. 了解示波器、函数信号发生器的工作原理。 2. 学习调节函数信号发生器产生波形及正确设置参数的方法。 3. 学习用示波器观察测量信号波形的电压参数和时间参数。 4. 通过李萨如图形学习用示波器观察两个信号之间的关系。 【实验仪器】 1. 示波器DS5042型,1台。 2. 函数信号发生器DG1022型,1台。 3. 电缆线(BNC 型插头),2条。 【实验内容与步骤】 1. 利用示波器观测信号的电压和频率 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-1所示的正余弦波形,显示在示波屏上。 图1-1 函数信号发生器生成的正、余弦信号的波形 学生姓名/学号 指导教师 上课时间 第 周 节

(2)用示波器对图1-1中所示的正余弦波形进行测量并填写下表 表1-1 正余弦信号的电压和时间参数的测量 电压参数(V)时间参数 峰峰值最大值最小值频率(Hz)周期(ms)正弦信号 3sin(200πt) 余弦信号 3cos(200πt) 2. 用示波器观测函数信号发生器产生的正余弦信号的李萨如图形 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-2所示的正余弦波形的李萨如图形,调节并正确显示在示波屏上。 图1-2 正弦信号3sin(200πt)和余弦信号3cos(200πt)的李萨如图形 3. 观测相同幅值、相同频率、不同相位差条件下的两正弦信号的李萨如图形 (1)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+45o),观测并记录两正弦信号的李萨如图形于图1-3中。 (2)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+135o),观测并记录两正弦信号的李萨如图形于图1-3中。

相关文档