文档库 最新最全的文档下载
当前位置:文档库 › 一个基于DSP的DC_DC开关电源设计方法(精)

一个基于DSP的DC_DC开关电源设计方法(精)

一个基于DSP的DC_DC开关电源设计方法(精)
一个基于DSP的DC_DC开关电源设计方法(精)

随着低成本、高性能D S P 的出现,尤其是A /D 和P W M 性能的大幅提高。D S P 控制的开关电源将越来越多地在电源工业中应用。基于DSP 的数字控制能实现更丰富的功能控制策略。可以在一个标准化的硬件平台上,通过更新软件满足不同的需求。数字控制器也更少的受到环境变化和噪声的影响。TI 公司推出的32位DSP TMS320F28系列,系统时钟达到100MHz,外设集成了高分辨率的PWM 模块,转换速率高达160ns 的12位A/D。相比TI 早期推出的24系列DSP,各方面都有了很大的提高。这些都新功能的出现降低了DSP 实用化的难度,然而对于多数电源工程师,他们大多数是模拟方面的专家,对于数字化设计则面临许多技术上的挑战。

1电路模型仿真

B U

C K 变换器的电路模型如图所示。

其中各项电路参数如下:

V in =3 ̄4V ,V o u t =1.2V ,最大输出电流I out =20A,等效负载电阻R L =V out /I out =0.06Ω

最大输出电压V omax =1.3V;

PWM 开关工作频率f p w m =250k H z ,电压环采样频率fs=250khz

L=1μH,C=1800μF,等效串联阻抗R c =0.004Ohm

电压环带宽取f cv =20kHz,相位域度为45。

电路的环路模型如图2所示。

其中Gp(s根据Buck电路的小信号模型如下:

在Matlab中分析G p (s的环路特性如下;

V in =3.3;R c =0.004;C =1800e -006;L=1e-006;R l =0.061;V omax =1.3;

G p =t f (V in *[Rc*C l 1],[L*C*(1+R c /R l R c *C+L/R

l 1];

sisotool(Gp;

利用Matlab中的Sisotool工具设计一个校正函数Gc(s如下:

校正后的环路特性如Figure4:

利用Matlab中的c2d函数将Gc(s转为离

散形式:

G cz =c 2d(Gc(s,Ts,'t'ustin得到

分解得到:U (n =1.598U (n -1-0.5985U(n-2+12.49E(n-22.81E(n-1+10.41E(n-2

结论:当这个仿真结果用于实际的产品测试中,在从0到15A 的动态变化时,只需要30μs 的响应时间,这个结果是比较满意的。

2软件实现代码

根据U(n给出在DSP TMS320LF2801中

一个基于D S P 的D C /D C 开关电源设计方法

章道林

(北京交通大学

摘要:本文描述了T M S 320F 280X 在D C /D C 高频开关电源中的设计和应用。通过M A T L A B 给出一个B U C K 拓扑的D C /D C 变换器的波特图,接着设计一个较正环节用来优化变换器环路特性,最后给出D S P 的软件编程。关键词:D S P B U C K

P

W M

中图分类号:F407.61文献标识码:A文章编号:1673-0534(200610(a-0045-02

图 3

图4图1电路模型

图2环路模型

(下转47页

因为λ<

0,故当t >20℃时δω>0,即转速表的示值稍高。相对误差为

对于带重锤离心器的转速表所引证的公

证,对于带圆环离心器的转速表同样也是适

用的。

若λ=-4×10-4

,则当温度t=-60℃

时离心式转速表的相对误差(用百分数表示

为:

d%=100%=2×10-4(-60-20100%=-1.6%

由例题可以看出,离心式转速表的温度

误差可能达到较大的数值。

实现的代码:

;Description:

;Performs a second order difference equation of the form: ;B2z^2+B1z+B0

;U(z/E(z=-----------;-A2z^2-A1z+ 1

;U(n=A1*U(n-1+A2*U(n-2+B0*E (n+B1*E(n-1+B2*E(n-2

;U(n-1=>output from last sample cycle

;U(n-2=>output from2samples past ;E(n=>present error

;E(n-1=>error from last sample cycle

;E(n-2=>error from2samples past ;|y(n-1|0CNTL_2P2Z_DBUFF ;| y(n-2|2;|e(n|4;|e(n-1|6;|e(n-2|8

;|b2=10.41*Q26=0x29a3d70a|0C N T L _2P 2Z _ C O E F F

;|b1=-22.81*Q26=0xa4c28f5c|2;|b0=12.49*Q26=0x31f5c28f|4;|a2 =-0.5985*Q26=0xfd9b22d0|6;|a1=1.598*Q26=0x06645a1c|8;|a0=0|10

;_CNTL_2P2Z_Fdbk:current out-put voltage AD sample

;_CNTL_2P2Z_Ref output voltage reference value

CNTL_2P2Z.macro x

M O V W D P ,#_C N T L _2P 2Z _R e f :x :M O V L X A R 4,@_C N T L _2P 2Z _R e f :x :

;Net pointer to Ref(XAR4

M O V L X A R 5,@_C N T L _2P 2Z _F d b k :x :

;Net pointer to Fdbk(XAR5M O V L X A R 6,_C N T L _2P 2Z _O u t :

x :

;Net pointer to Out(XAR6

M O V L X A R 7,C N T L _2P 2Z _C O E F F :x :

;Local coefficient pointer (XAR7

S E T C S X M ,O V M

M O V A C C ,*X A R 4;Q 15S U B A C C ,*X A R 5;Q 15LSL ACC,#16;Q31;Diff equation

M O V L @C N T L _2P 2Z _D B U F F :x :+4,A C C

M O V L X T ,@C N T L _2P 2Z _D B U F F :x :+8;XT=e(n-2,Q31

Q M P Y L A C C ,X T ,*X A R 7++;b2*e(n-2,Q26*Q31(64-bit result

M O V D L X T ,@C N T L _2P 2Z _D B U F F :x :+6

;XT=e(n-1,e(n-2=e(n-1

Q M P Y L P ,X T ,*X A R 7++;ACC=b2*e(n-2P=b1*e(n-1,Q26*Q31(64-bit result

ADDL ACC,P;64-bit result in Q57,So ACC is in Q25

M O V D L X T ,@C N T L _2P 2Z _D B U F F :x:+4;XT=e(n,e(n-1=e(n

Q M P Y L P ,X T ,*X A R 7++;ACC=b2*e(n-2+b1*e(n-1,

P=b0*e(n,Q26*Q31(64-bit result;64-bit result in Q57,So ACC is in Q25

ADDL ACC,P;ACC=b2*e(n-2+b1*e (n-1+b0*e(n,Q25

S F R A C C ,#1

M O V L @C N T L _2P 2Z _t e m p :x :,A C C ;Q24

M O V L X T ,@C N T L _2P 2Z _D B U F F :x :+2;XT=u(n-2,Q24

Q M P Y L P,XT,*XAR7++;P=a2*u (n-2,Q26*Q24(64-bit result

M O V D L X T ,@C N T L _2P 2Z _D B U F F :

x:;XT=u(n-1,u(n-2=u(n-1,Q24

Q M P Y L A C C ,X T ,*X A R 7++;ACC=a2*u(n-2;64-bit result in

Q50,So ACC is in Q18

A D D L ACC,P;ACC=a1*u(n-1+a2*u(n-2,ACC in Q18

LSL ACC,#5;Q23A D D L A C C ,A C C ;Q 24

A D D L A C C ,@C N T L _2P 2Z _t e m p :x ;Q24,ACC=a1*u(n-1+a2*u(n-2+b2*e(n-2+b1*e(n-1+b0*e(n

M O V L @C N T L _2P 2Z _D B U F F :x :,ACC;ACC=u(n(Q24; Saturate the result[0,1]

M I N L A C C ,*X A R 7++

M A X L A C C ,*X A R 7++

;move result to Uout as a Q15L S L A C C ,#7

M O V *X A R 6, A H

参考文献

[1]Ridley.R.B.Average small-signal

analysis of the boost power factor correction circuit.Proceedings of the Virginia Power Electronics Center Seminar(VPEC,Blacksburg,VA,Sept.1989.

[2]Dixon.L.H.Average current Mode

Control of Switching Power Supplies.Unitrode Power Supply Design Semi-nar Manual SEM700,1990.

在温度20℃±5℃时离心式转速表引用误差通常在±1%到2%的范围内。离心式转速

表的示值与轴的旋转方向无关。

转速表安装不正确所引起的误差。固定式转速表安装在设备上,所装的位置应符合调整和检定时的位置,否则将会产生误差。

用于联接转速表轴和机器轴的传动装置

(联轴节、软轴等等安装不正确,亦会

引起仪器示值不正确。

此外,转速表在工作时所引起的指针振

动和大幅度摆动也与前面所研讨的误差相关

联着。

指针摆动很难进行读数。指针摆动是由

于转速表机构装配不正确和个别零件制造上

的缺陷而产生的。如转速表轴在轴承内纵横跳动,活动套环与轴不垂直、扇形齿轮轴的过大间隙,离心器重锤不平衡,传动轴齿轮啮合不正确等等都属于这类缺陷。

指针较大幅度的摆动是由于转轴的缺陷及其安装的缺陷。如轴在轴承内卡着,齿轮啮合不正确以及活动套管内表面不平滑等因素造成的。

(上接45页

DSP Builder的功能和流程

电子131 XXX XXXXXX 1、说明DSP Builder 的主要功能 DSP Builder 是Altera 公司提供的一种DSP 系统设计工具,是Matlab/Simulink 设计工具和QuartusII 设计工具之间的一个桥梁,把Matlab/Simulink 中的DSP系统设计转化为HDL文件,在QuartusII 工具中实现到具体的器件中。产生于Matlab\DSP Builder\Quartus II 流程的DSP 模块或其他功能模块可以成为单片FPGA 电路系统中的一个组成部分,担任某个局部电路的功能;通过Matlab\DSP Builder ,可以直接为Nios II 嵌入式处理器设计各类加速器,成为Nios II 系统的一个接口设备,与整个片内嵌入式系统融为一体。DSP Builder 是一个系统级(或算法级)设计工具,它架构在多个软件工具之上,并把系统级和RTL 级两个设计领域的设计工具连接起来,最大程度地发挥了两种工具的优势。 2、说明DSP Builder 的设计流程 Simulink 模型仿真 综合 (Quartus II, LeonardoSpectrum, Synplify) ATOM Netlist产生 Quartus II HDL仿真(ModelSim) 综合(Quartus II,LeonardoSpectrum,Synplify)Quartus II 生成编程文件 (.pof,.sof) 下载至硬件 自动流程 手动流程mdl转成 vhdl Matlab Simulink 建立模型 第一步是在Matlab 的Simulink 环境中建立一个mdl 模型文件,调用Altera DSP Builder 和其它Simulink 库中的图形模块(Block),构成设计框图(或称Simulink 设计模型)。 第二步是利用Simulink 强大的图形化仿真、分析功能,分析此设计模型的正确性,完成模型仿真。在这两步中,与一般的Matlab Simulink 建模过程几乎没有什么区别,所不同的是设计模型库采用Altera DSP Builder 的Simulink 库。 第三步是DSP Builder 设计实现的关键一步,通过SignalCompiler 把Simulink 的模型文件(后缀为.mdl)转化成通用的硬件描述语言VHDL 文件(后缀为.vhd)。由于EDA 工具软件(诸如Quartus II 、ModelSim)不能直接处理Matlab 的.mdl 文件,这就需要一个转换过程。转换获得的HDL 文件是基于RTL 级(寄存器传输级)的VHDL 描述。 再接下来的几个步骤是对以上设计产生的VHDL 的RTL 代码和仿真文件进行综合、编译适配以及仿真。为了针对不同用户的设计目的和设计要求,DSP Builder 提供了两种不同的设计流程,主要可以分为自动流程和手动流程。 如果采用DSP Builder 的自动流程,几乎可以忽略硬件的具体实现过程,DSP Builder

DSP课程设计总结报告

课程设计总结报告课程名称DSP控制器及其应用 设计题目万年历设计 业专电子信息工程 班级 姓名 学号

指导教师 报告成绩 信息工程学院 年六月十三日二〇一四 录目 言前 (3) 设计要求第一章4.....................................................................................基本要求1.14.....................................................................................

系统的组成和工作原理第二章5............................................................. 芯片的工作原理VC5509APGE2.1DSPTMS3205.............................. 液晶显示器的工作原理2.2LCD16026..............................................主电路图及程序流程图第三章.. (7) 主电路图3.17...................................................................................... 程序总流程图3.27.............................................................................. 程序分块流程图3.38..........................................................................软件程序设计第四章9.............................................................................

MULTLAB DSPbuilder硬件模块设计

第六章Matlab/Dsp builder硬件模块设计 Matlab是国内强大的数学分析工具,广泛用于科学计算和工程计算,还可以进行复杂的数字信号处理系统的建模、参数估计及性能分析。Simulink是Matlab的一个组成部分,用于图形化建模仿真。DSP Builder是Altera公司推出的一个面向DSP开发的系统级工具,它构架在多个软件工具之上,并把系统级(算法级建模)和RTL级(硬件实现)两个设计领域的设计工具连接起来放在Matlab/Simulink平台上,而将QuartrsⅡ作为底层设计工具置于后台,从而最大程度地发挥了这三种工具的优势。DSP Builder作为Simulink中的一个工具箱,使得用FPGA设计DSP系统完全可以通过Simulink的图形化界面进行,只要简单地进行DSP Builder工具箱中的模块调用即可。Matlab/DSP Builder尤其适用于一些在Quartus Ⅱ上不方便完成或不能完成的设计项目(如涉及算法类及模拟信号处理与生产方面的系统处理)。DSP Builder还可以自动完成大部分的设计过程和仿真,直到把设计文件下载到FPGA 中。 DSP Builder提供了Quartus? II软件和MATLAB/Simulink工具之间的接口。其具有如下特性: 1.用于连接Mathwork的MATLAB(信号处理工具箱和滤波器设计工具箱),Simulink环境和Altera? 的Quartus II设计软件环境。 2.支持Altera 的DSP核,这些核均可以从Altera的网站上下载(例如:FIR Compiler、Reed-Solomon Compiler等等)。 3.可以利用Altera的DSP开发板来快速的实现设计的原型。 4.支持SignalTap? II逻辑分析仪(一种嵌入式的信号分析仪,它可以探测到DSP开发板上Altera器件内部的信号,并把数据引入到MATLAB的工作区以便于进行可视化的分析)。 5.包括了用户可以创建的定制的逻辑,用于配合SOPC Builder和Nios? II嵌入式处理器设计。 6.包括了PLL块,用于多时钟设计。 7.包括了状态机块。 8.针对DSP系统的算法和实现,支持统一的表示方法。 9.根据MATLAB和Simulink的测试矢量,可以自动生成VHDL测试激励或Quartus II 矢量文件(.vec)。 10.自动调用VHDL综合器和Quartus II编译器。 11.仿真可以设定为比特或周期精度。 12.提供多种的定点运算和逻辑操作,用于配合使用Simulink 软件。 13.支持多种Altera 的器件: Stratix、Stratix II 和 Stratix GX 器件; Cyclone 和 Cyclone II 器件; APEXII、APEX 20KC 和 APEX 20KE 器件; Mercury器件; ACEX? 1K 器件; FLEX? 10K 和 FLEX 6000 器件。 利用Matlab和DSP Builder进行模块设计也是SOPC技术的一个组成部分。这是由于利用Matlab/DSP Builder/QuartrsⅡ可完成纯硬件的DSP算法模型及实现,从而构成嵌入式系统外围接口的协处理模块,再进一步构成软件程序中的精简指令,DSP模块或其他功能模块可以成为单片FPGA电路系统中的一个组成部分,而且通过Matlab/DSP Builder,可以直接为Nios嵌入式处理器设计各类加速器,并以指令的形式加入到Nios的指令系统,从而成为

DSP课程设计 (3)

深圳大学考试答题纸 (以论文、报告等形式考核专用) 二○一四~二○一五学年度第1 学期 课程编号 课程 名称 单片机/ARM /DSP技术实践 主讲 教师 评 分 学号姓名 专业年级 题 目: 基于DSP2812的课程设计

一、实验要求 由外接的信号发生器产生一正弦信号(电压范围:0~3V),通过DSP的AD功能对此正弦信号进行采集,通过DSP的SCI功能与PC机之间进行通信,把所采集的AD信号发送至PC机端,在超级终端上进行实时显示。 二、实验原理 2.1 ADC概述 ADC,即模/数转换器,将模拟量转换成数字量,提供给控制器使用。TMS320F2812片上有一个12位分辨率、具有流水线结构的模/数转换器,其机构框图如图1所示。其前端为2个8选1多路切换器和2路同时采样/保持器,构成16个模拟输入通道,模拟通道的切换由硬件自动控制,并将各模拟通道的转换结果顺序存入16个结果寄存器中。 图1 ADC机构框图 2.2 ADC模块特点 (1)带2个8选1多路切换器和双采样/保持器的12位的ADC,共有16个模拟输入通道; (2)模拟量输入范围:0.0V-3.0V;

(3)转换率:在25MHZ的ADC时钟下为80ns; (4)转换结果存储在16个结果存储器中; (5)转换结果=4095*(输入的模拟信号-ADCLO)/3; (6)多种A/D触发方式:软件启动、EVA和EVB; (7)灵活中断方式:可以在每次转换结束或每隔一次转换结束触发中断; 3.AD C转换步骤 (1)初始化DSP系统; (2)设置PIE中断矢量表; (3)初始化ADC模块; (4)将ADC中断的入口地址装入PIE中断矢量表中,开中断; (5)软件启动ADC转换; (6)等待ADC中断; (7)在ADC中断中读取ADC转换结果,软件启动下一次ADC中断。 三、实验实现 3.1硬件方案设计 本实验以TMS320F2812为核心控制部件,利用软件编程,通过ADC模块对试验箱上的信号发生器发出的正弦信号进行采集,由于试验箱上的信号发生器只能调节到2V,所以此次实验只针对2V的正弦信号,再通过串口线与PC机连接,将采集转换的数字信号传送到PC机端的串口助手,并还原成采集时的电压值。硬件框架图如图2所示。本次ADC采用SEED-DEC2812的AD接口的ADCINA6通道。 图2 硬件框架图

DspBuilder中文教程2

第10章 DSP Builder 设计深入 应用Matlab/DSP Builder 可以对多种类型的电子线路模块或系统进行建模、分析和硬件实现,且更擅长于一些较复杂的功能系统,及偏向于高速算法方面的模块的设计和实现,还能利用HDL Import 模块将HDL 文本设计转变成为DSP Builder 元件。 本章将给出一些DSP 及数字通信领域中实用模块的设计实例,以及基于Matlab/DSP Builder 平台的IP 核的应用。 10.1 FIR 数字滤波器设计 FIR (Finite Impulse Response :有限冲激响应)滤波器在数字通信系统中,被大量用于以实现各种功能,如低通滤波、通带选择、抗混叠、抽取和内插等。 在DSP Builder 的实际应用中,FIR 滤波器是最为常用的模块之一。DSP Builder 的FIR 滤波器设计方式有多种,作为示例,本节介绍基于模块的FIR 与基于IP 的FIR 设计方法。 10.1.1 FIR 滤波器原理 对于一个FIR 滤波器系统,它的冲激响应总是有限长的,其系统函数可以记为: ∑=?=M k k k z b z H 0)( 10-1 最基本的FIR 滤波器可用下式表示: ∑?=?=1 0)()()(L i i h i n x n y 10-2 其中()x n 是输入采样序列,()h n 是滤波器系数,L 是滤波器的阶数,)(n y 表示滤波器的输出序列。也可以用卷积来表示输出序列)(n y 与)(n x 、)(n h 的关系。 )()()(n h n x n y ?= 10-3 图10-1中显示了一个典型的直接I 型3阶FIR 滤波器,其输出序列)(n y 满足下列等式:

dsp课程设计实验报告

DSP 课程设计实验 一、语音信号的频谱分析: 要求首先画出语音信号的时域波形,然后对语音信号进行频谱分析。在MATLAB 中,可以利用函数fft 对信号进行快速傅立叶变换,得到信号的频谱特性,从而加深对频谱特性的理解。 其程序为: >> [y,fs,bits]=wavread('I:\',[1024 5120]); >> sound(y,fs,bits); >> Y=fft(y,4096); >> subplot(221);plot(y);title('原始信号波形'); | >> subplot(212);plot(abs(Y));title('原始信号频谱'); 程序运行结果为: 二、设计数字滤波器和画出频率响应: 根据语音信号的特点给出有关滤波器的性能指标: 低通滤波器性能指标,p f =1000Hz ,c f =1200Hz ,s A =100dB ,p A =1dB ; 高通滤波器性能指标,c f =4800Hz ,p f =5000Hz ,s A =100dB ,p A =1dB ; 带通滤波器性能指标,1p f =1200Hz ,2p f =3000Hz ,1c f =1000Hz ,2c f =3200Hz ,s A =100dB , p A =1dB ;

】 要求学生首先用窗函数法设计上面要求的三种滤波器,在MATLAB中,可以利用函数firl 设计FIR滤波器;然后再用双线性变换法设计上面要求的三种滤波器,在MATLAB中,可以利用函数butte、cheby1和ellip设计IIR滤波器;最后,利用MATLAB中的函数freqz画出各种滤波器的频率响应,这里以低通滤波器为例来说明设计过程。 低通: 用窗函数法设计的低通滤波器的程序如下: >> fp=1000;fc=1200;As=100;Ap=1;fs=22050; >> wc=2*fc/fs;wp=2*fp/fs; >> N=ceil(/*(wc-wp)/2))+1; >> beta=*; >> Win=Kaiser(N+1,beta); 、 >>b=firl(N,wc,Win); >>freqz(b,1,512,fs); 程序运行结果: 这里选用凯泽窗设计,滤波器的幅度和相位响应满足设计指标,但滤波器长度(N=708)太长,实现起来很困难,主要原因是滤波器指标太苛刻,因此,一般不用窗函数法设计这种类型的滤波器。 用双线性变换法设计的低通滤波器的程序如下: >> fp=1000;fc=1200;As=100;Ap=1;fs=22050; >> wc=2*fc/fs;wp=2*fp/fs; 》 >> [n,wn]=ellipord(wp,wc,Ap,As); >> [b,a]=ellip(n,Ap,As,wn); >> freqz(b,a,512,fs); ^

DSP课程设计报告

共享知识分享快乐 盛年不重来,一日难再晨。及时宜自勉,岁月不待人。 数据采集处理和控制系统设计 一课程设计要求 1.基本DSP硬件系统设计要求 ①基本DSP硬件系统以TMS320C54x系列为核心处理器,包括最小系统、存储器扩展、显示器、键盘、AD、DA等电路模块; ②硬件设计画出主要芯片及电路模块之间的连接即可,重点考查电路模块方案设计与系统地址分配; ③设计方案以电路示意图为主,辅以必要的文字说明。 2.基本软件设计要求 ①看懂所给例程,画出例程输出波形示意图; ②修改例程程序,使之输出其它波形,如方波、三角波、锯齿波等均可; ③设计方案以程序实现为主,辅以必要的文字说明。 3.课程设计报告要求 ①硬件系统设计:设计思路、设计系统功能、主要芯片选型及使用方法、设计方案说明、电路示意图 ②软件系统设计:示例程序功能解读及输出波形示意图、设计软件功能、设计思路、实现源码(带程序注释) ③报告总结 二系统分析 利用实验箱的模拟信号产生单元产生不同频率的信号,或者产生两个频率的信号的叠加。在DSP 中采集信号,并且对信号进行频谱分析,滤波等。通过键盘或者串口命令选择算法的功能,将计算的信号频率或者滤波后信号的频率在LCD 上显示。主要功能如下: (1)对外部输入的模拟信号采集到DSP 内存,会用CCS 软件显示采集的数据波形。 (2)对采集的数据进行如下算法分析: ①频谱分析:使用fft 算法计算信号的频率。 ②对信号进行IIR 滤波或FIR 滤波,并且计算滤波前后信号的频率。 ③外部键盘或者从计算机来的串口命令选择算法功能,并且将结果在 LCD 上显示。 绘制出DSP系统的功能框图、使用AD(Altium Designer)绘制出系统的原理图和PCB 版图。 在 DSP 中采集信号,用CCS 软件显示采集的数据波形,以及对采集的数据进行算法分析。 三硬件设计 3.1 硬件总体结构

dsp课程设计实验报告总结

DSP课程设计总结(2013-2014学年第2学期) 题目: 专业班级:电子1103 学生姓名:万蒙 学号:11052304 指导教师: 设计成绩: 2014 年6 月

目录 一设计目的----------------------------------------------------------------------3 二系统分析----------------------------------------------------------------------3 三硬件设计 3.1 硬件总体结构-----------------------------------------------------------3 3.2 DSP模块设计-----------------------------------------------------------4 3.3 电源模块设计----------------------------------------------------------4 3.4 时钟模块设计----------------------------------------------------------5 3.5 存储器模块设计--------------------------------------------------------6 3.6 复位模块设计----------------------------------------------------------6 3.7 JTAG模块设计--------------------------------------------------------7 四软件设计 4.1 软件总体流程-----------------------------------------------------7 4.2 核心模块及实现代码---------------------------------------8 五课程设计总结-----------------------------------------------------14

基于DSPBuilder数字信号处理课程设计

上海电力学院基于DSP Builder数字信号处 理课程设计 实验名称:AM调制FM调制及DDS信号 专业:通信工程 姓名: 班级: 学号: 一、设计目的 通过本次课程设计,巩固已学数字电路与逻辑设计的理论知识,掌握数字信号处理方法,引导学生从功能设计转向系统设计,掌握由现场可编程逻辑器件实现数字信号处理的方法,掌握现场可编程逻辑器件的应用设计,从而拓宽数字技术及处理的知识和设计能力,提高学生动手能力,培养学生分析问题与解决问题的能力。 二、设计内容 本设计利用FPGA开发软件QuartusII,DSP BUILDER,MATLAB,设计实现各类波形信号的发生电路,如AM调制、FM调制、DDS控制等,进行引脚锁定、全编译通过后,完成FPGA器件Cyclone II的配置工作,并在Matlab Simulink中使用Scope显示仿真结果,在DE2开发板上下载并通过七段数码管,显示波形情况。 三、设计要求 1.独立完成AM调制、FM调制、DDS控制电路的设计、译码显示电路的设计。 2.熟悉QuartusII,DSP BUILDER,MATLAB环境下系统开发设计流程。 3.在DE2上验证设计结果,并认真写出设计报告。

四、设计原理及步骤 (一).AM 调制的设计 AM 幅度调制函数信号可以用式)m 1(am dr ?+?=F F F 来表述,其中,dr F 、am F 、F 分别是被调制的载波信号,需要被调制的信号和调制后AM 的输出信号,它们都是有符号数,m 是调制度,10<

dsp课程设计报告(2)分析

华北水利水电大学North China University of Water Resources and Electric Power DSP课程设计 题目: FIR数字低通滤波器 学院信息工程学院 专业电子信息工程 姓名 学号 指导教师

摘要 (1) 一. 绪论 (1) 1.1设计背景 (1) 1.2设计方法分析 (1) 二.FIR滤波器设计过程 (2) 2.1 FIR滤波器原理 (2) 2.2 FIR滤波器的实现方法 (3) 2.3 FIR滤波器的MATLAB实现 (4) 2.4 设计流程图 (6) 三.MATLAB和 CCS操作步骤及仿真结果 (7) 3.1 matlab中的.M文件的编写 (7) 3.2 工程文件的建立 (12) 3.3 仿真结果及分析 (12) 四.心得与总结 (12)

摘要 当前,数字信号处理技术受到了人们的广泛关注,其理论及算法随着计算机技术和微电子技术的发展得到了飞速地发展,并被广泛应用于语音和图象处理、数字通信、谱分析、模式识别和自动控制等领域。数字滤波器是数字信号处理中最重要的组成部分之一,几乎出现在所有的数字信号处理系统中。设计中通过MATLAB环境中图形化的方式建立数字信号处理的模型进行DSP的设计和仿真验证,将设计的图形文件.mdl直接转换成C语言程序在CCS中运行。利用MATLAB 软件开发产品加速了开发周期,比直接在CCs中编程方便快捷了很多,对于任何复杂功能的DSP系统,只需要进行少量的添加和修改就能完成功能正确的C语言程序设计。 一. 绪论 1.1设计背景 一个实际的应用系统中,由于设备或者是外界环境的原因,总存在各种干扰,使信号中混入噪声,譬如音频信号中高频成分的噪声使得音乐听起来刺耳,失去了原有悦耳的音质。为了提高信号质量,可以对信号进行滤波,从噪声中提取信号,即对一个具有噪声和信号的混合源进行采样,然后经过一个数字滤波器,滤除噪声,提取有用信号。DSP(数字信号处理器)与一般的微处理器相比有很大的区别,它所特有的系统结构、指令集合、数据流程方式为解决复杂的数字信号处理问题提供了便利,本文选用TMS320C54X作为DSP处理芯片,通过对其编程来实现FIR滤波器。对数字滤波器而言,从实现方法上,有FIR滤波器和无限冲激响应(IIR)滤波器之分。由于FIR滤波器只有零点,因此这一类系统不像IIR系统那样易取得比较好的通带与阻带衰减特性。但是FIR系统有自己突出的优点:①系统总是稳定的;②易实现线性相位;③允许设计多通带(阻带)滤波器。其中后两项是IIR系统不易实现的。 1.2设计方法分析 FIR滤波器的设计方法分析 数字滤波器依据冲激响应的宽度划分为有限冲激响应(FIR)滤波器和无限冲激响应滤波(IIR)。FIR 滤波器是有限长单位冲激响应滤波器,在结构上是非递归型的,有限冲激响应滤波器(FIR),具有以下的优点:(1)可以在幅度特性随意设计的同时,保证精确、严格的线性相位;(2)由于FIR滤波器的单位脉冲响应h(n)是有限长序列,因此F I R 滤波器没有不稳定的问题;(3)由于FIR 滤

DSP课程设计报告—刘雅琪

一、课程设计的目的和要求 1.1课程设计目的: 本课程是DSP技术类课程配套的课程设计,要求学生通过高级语言或汇编语言编程实现较复杂的功能。通过课程设计,使学生加深对DSP芯片 TMS320C54的结构、工作原理的理解,获得DSP应用技术的实际训练,掌握设计较复杂DSP系统的基本方法。 1.2课程设计要求 1、认真查阅资料 2、课程设计前认真预习 3、遵守课程设计时间安排 4、认真保质保量完成设计要求 5、认真书写报告 二、系统功能介绍及总体设计方案 2.1 功能介绍 随着信息技术和计算机技术的飞速发展, 数字信号处理技术在众多领域得到广泛应用。数字滤波器由于其精度高、稳定性好、使用灵活等优点, 广泛应用在各种数字信号处理领域。数字滤波器根据冲击响应函数的时域特性, 可以分为FIR (有限长冲激响应滤波器)和IIR(无限长冲激响应滤波器)。FIR滤波器与IIR 滤波器相比,具有严格的线性相位,幅度特性可任意等优点。而且, FIR 滤波器的单位抽样响应是有限长的, 故一定是稳定的, 他又可以用快速傅里叶变换(FFT)算法来实现过滤信号,可大大提高运算效率。 本课程设计的是一个等波纹FIR 低通滤波器,其具体参数为:采样频率 F s=1000Hz,通带频率F pass=150Hz截止频率F sg=250Hz,通带衰减A pass =0.5dB 阻带衰减A stop=80dB。 2.2 总体设计方案: 先进行Matlab 程序设计产生待滤波数据(借助设计工具FDATOOL 产生设计系数),将其导入CCS在CCS!进行仿真调试运行,得到了输入和输出的波形及

其频谱。 三、主要设计内容和步骤 3?1 FIR 数字滤波器的原理分析 3.1.1FIR 数字滤波器 数字滤波器原理一般具有如下差分方程 N A N -1 y(n) f a k X( n- k) 、b k y( n-k) k z 0 k =0 式中x(n)为输入序列,y(n)为输出序列,兔和b k 为滤波器系数,N 是滤波器阶 N J 数。当所有的b k 均为零,则有 y (n )八 时(n-k) ⑵ k=0 (2) 式是FIR 滤波器的差分方程,其一般形式为 N -1 y(n)「h k x( n-k) (3) k £ 对(3)式进行z 变换,整理后可得FIR 滤波器的传递函数 FIR 的直接型结构: x(n) ——B —— h(0) n "(2) ' b ——■—— 1 h(N £3) ?_m h(N £2) I ---- ■—1 ? ■ ” £ ■ 1 h(N £l) z £i z £i y(n) H(z) = 迩 2 X o -k h)k)z

DSPBuilder用户指南(中文)

6.0 用户指南 1.关于 特性 ?支持以下特性: 把 (信号处理工具箱和滤波设计工具箱)和软件与公司的软件连接。 ?支持以下器件系列: ●?和器件 ●和器件 ●?, 20和 20器件 ●?器件 ●?1K器件 ● 10K?和?6000器件 ?使用开发板快速建立样机。 ?支持?逻辑分析仪,探测来自上器件嵌入式信号分析仪和把数据转入到工作空间,以利 用可视化分析。 ?在库中支持的转入模块: ●或设计授权转入 ●转入在工程文件中的 ?回路中的硬件模块()能够使硬件在( )中加速二次模拟。 ?在中的包括了你能用于建立一些定制逻辑的模块,这些定制逻辑和以及其它的设 计一起工作。 ●低级和辅接口模块 ●和捆绑模块 ●全部模块是用户可以配置的 ●分离的模块可用来支持端口 ●将接口拖拉进设计模块中,你能建立任何的元件 ●根据中的仿真,你能验证接口,用生成的和文件把你的设计输出 到 ?包括状态机模块。 ?支持系统算法和执行的统一表示。 ?自动生成或测试平台或者自动地从和测试向量中自动生成向量文件()。 ?自动启动编译。 ?使能用位及周期精确设计仿真。 ?提供和软件一起使用的各种定点算法和逻辑运算。 ?生成信号名的自动传播。 ?使用工作空间或已标记的子系统变量,你能说明模块参数对话框中的大部分值。

一般描述 在可编程逻辑器件()的数字信号处理()系统设计中,需要高级算法与硬件描述语言()开发工具。 集成了这些工具,把的和系统级设计工具的算法开发、仿真和验证能力与和设计流程(包括软件)组合在一起。 借助于你在友好的算法环境中生成的设计硬件表示,缩短了设计周期,你能把已存在的函数和模块与模块以及?函数组合在一起,把系统级设计和执行算法开发连接在一起。在这种方法中,允许系统、算法和硬件设计人员共享一个共同的开发平台。 你能使用中的块在中建立一个模拟系统的硬件执行。包含位和周期精确的块,这些块又包括许多基本操作,如算法或存储函数以及对关键设计特性优势的运用,如嵌入式,块或嵌入式存储器。 你能使用在模型中的函数去生成一体化函数。除此之外,你还能在执行部分设计的过程中体验到更快的硬件模拟性能和更丰富的仪器使用环境。 信号编译器可读取模型文件(),使用和函数生成和文件及脚本,以便进行合成,硬件执行和仿真。 1.2.1具有可编程逻辑的高速 可编程逻辑提供在专用数字信号处理器上的性能优点。 可编程逻辑能够被看作为元件陈列,其中每一个能够被配置为复杂处理器例行程序。 这些处理器例行程序则能够以串联连接在一起(以同样的方法,数字信号处理器能够执行他们),或他们能够并联连接。 在并行情况下,他们提供标准数字信号处理器同时执行上百条指令操作的性能。 有益于这种改进性能的算法包括具有正向误差校正(),调制/解调和加密。 设计流程 当使用时,在软件上生成的设计模型来启动。在你已生成你的模型后,你能为综合和编译输出文件,或生成或仿真文件。 设计流程包括下列步骤: 1.使用软件生成由与组合的模型。 2.使用模块分析你的设计。 3.在中,使用监视结果的模块仿真模型。 4.运行设置仿真和综合。 5.执行仿真。支持带有脚本软件的自动化流程。你也能使用在其他仿真工具中的手动仿真生成的或。 6.使用由模块生成的输出文件来执行综合。对于带有脚本的,或软件,支持自动化综合流程。作为选择的,你能使用其它的综合工具,手工综合文件。 7.在软件中编译你的设计。 8.下载到一个硬件开发板上并测试。 图形1-1展示了使用设计的系统级设计流程。

单片机及DSP课程设计报告

本科课程设计说明书 设计题目:TMS320VC30DSP开发板制作 学院:__明德学院_ 班级:__电自11151 学号:112003110184 学生姓名:魏乾 指导教师:刘晓燕 2014年6月23日

贵州大学本科课程设计第 1 页 摘要 数字信号处理器(Digital Signal Processor;简称为DSP)是针对数字信号处理需要而设计的一种可编程的单片机,是现代电子技术、计算机技术和信号处理技术相结合的产物。随着信息处理技术的飞速发展,数字信号处理器在电子信息、通信、软件无线电、自动控制、仪器仪表、信息家电等高科技领域获得了越来越广泛的应用。 数字信号处理器由于运算速度快,具有可编程特性及接口灵活,使得它在许多电子信息产品的研制、开发与应用中,发挥着越来越重要的作用;采用DSP器件来实现数字信号处理系统更是成了当前的发展趋势。与此同时,如何以最短的开发周期,开发出能充分发挥DSP 潜能的高质量的应用软件,已经成了广大DSP工程技术人员共同关心的问题。据估计,在DSP应用系统的开发中,特别是对于比较复杂的或对时间要求十分严格的应用系统,绝大部分的开发时间用于软件的设计与调试。DSP软件的调试离不开DSP的开发工具,因此熟悉并掌握DSP的开发工具是开发出高质量DSP软件的必备条件。正是出于这种目的,本论文对美国德州仪器(简称T1)公司推出的新一代16位定点TMS320C3XDSP进行了介绍,该系列DSP是目前TI 公司推出性价比最高的第三代浮点数字信号处理器之一。

贵州大学本科课程设计第 2 页 数字信号处理器DSP以其独特的结构和快速实现各种数字信号处理算法的突出优点,在通信、雷达、声纳、语音信号处理、图象处理、高速控制和仪器设备等众多领域获得了广泛应用。在掌握开发和深入了解其结构的基础上制作了TMS320VC33 DSP开发板。开发板集成了TMS320VC33的存储器扩展,AD前向通道,后向通道(DA)的接口,RS232接口,并能通过USB仿真器下载程序脱机运行,并介绍了系统板上各部分电路原理和JTAG接口。 关键词:TMS320VC33 AD前向通道RS232接口JTAG接口 一、设计目的 为了进一步巩固学习的理论知识,增强学生对所学知识的实际应用能力和运用所学的知识解决实际问题的能力,开始为期两周的课程设计。通过设计使学生在巩固所学知识的基础之上具有初步的单片机系统设计与应用能力。 1、通过本设计,使学生综合运用《单片机技术原理与应用》、《DSP 原理与应用》《C语言程序设计》以及《数字电路》、《模拟电路》等课程的内容,为以后从事电子产品设计、软件编程、系统控制等工作奠定一定的基础。 2、学会使用KEIL C和PROTEUS等软件,用C语言或汇编语言编写

DSP课程设计报告

数据采集处理和控制系统设计 一课程设计要求 1.基本DSP硬件系统设计要求 ①基本DSP硬件系统以TMS320C54x系列为核心处理器,包括最小系统、存储器扩展、显示器、键盘、AD、DA等电路模块; ②硬件设计画出主要芯片及电路模块之间的连接即可,重点考查电路模块方案设计与系统地址分配; ③设计方案以电路示意图为主,辅以必要的文字说明。 2.基本软件设计要求 ①看懂所给例程,画出例程输出波形示意图; ②修改例程程序,使之输出其它波形,如方波、三角波、锯齿波等均可; ③设计方案以程序实现为主,辅以必要的文字说明。 3.课程设计报告要求 ①硬件系统设计:设计思路、设计系统功能、主要芯片选型及使用方法、设计方案说明、电路示意图 ②软件系统设计:示例程序功能解读及输出波形示意图、设计软件功能、设计思路、实现源码(带程序注释) ③报告总结 二系统分析 利用实验箱的模拟信号产生单元产生不同频率的信号,或者产生两个频率的信号的叠加。在DSP 中采集信号,并且对信号进行频谱分析,滤波等。通过键盘或者串口命令选择算法的功能,将计算的信号频率或者滤波后信号的频率在LCD 上显示。主要功能如下: (1)对外部输入的模拟信号采集到DSP 内存,会用CCS 软件显示采集的数据波形。 (2)对采集的数据进行如下算法分析: ①频谱分析:使用fft 算法计算信号的频率。 ②对信号进行IIR 滤波或FIR 滤波,并且计算滤波前后信号的频率。 ③外部键盘或者从计算机来的串口命令选择算法功能,并且将结果在 LCD 上显示。 绘制出DSP系统的功能框图、使用AD(Altium Designer)绘制出系统的原理图和PCB 版图。 在 DSP 中采集信号,用CCS 软件显示采集的数据波形,以及对采集的数据进行算法分析。 三硬件设计 3.1 硬件总体结构

装DSP Builder的问题

如果你是正准备装下面的两个软件,一定要先看完: 本人的亲身经验,我花了一天半的时间才搞明白啊 (先还是得装MATLAB再装DSP) 我先安装了matlab 7.0,又安装dspbuilder 7.1+sp1 进入matlab後显示 Warning: MATLAB Toolbox Path Cache is out of date and is not being used. Type 'help toolbox_path_cache' for more info Warning: Name is nonexistent or not a directory: e:\altera\71\quartus\dsp_builder\bin\mdllibrary. Warning: Name is nonexistent or not a directory: e:\altera\71\quartus\dsp_builder\bin\matlab. 以上是我把DSP builder和MALTAB结合起来出现的一些警告,在simulink后看得到altera 的库, 现在已经DSP builder库导入到了MALTAB,可是一点击DSP builder库,就在MALTAB中出现了死循环(在MALTAB命令窗口中不停出现命令,最后自动关闭 原因是MALTAB的版本过低了,用的是7.0的,而我的DSP是7.1的.MALTAB的版本要大于等于DSP版本啊!!!!!! 我还有一个问题啊:不能转为VHDL,原因是我的DSP没破解:以下有个文档,肯定有同学们想知道的!!!!!!!! 很多朋友安装dsp builder后,有了License文件和破解器还不知道怎么加载注册文件,供大家参考。 软件要求 使用DSP Builder创建HDL设计需要有下面的软件支持: ? Matlab 6.1或6.5版本; ? Simulink4.1或5.0版本; ? Quartus Ⅱ2.0以上版本; ? Synplify 7.2以上版本或LeonardoSpectrum 2002c以上版本(综合工具); ? ModelSim5.5以上版本(仿真工具)。 DSP Builder软件的安装 在安装DSP Builder之前,首先安装Matlab和Simulink软件以及Quartus Ⅱ软件。如果要使用第三方EDA综合和仿真工具,需要安装综合工具LeonardoSpectrum或Synplify 以及仿真工具ModelSim。 在Windows 98/NT/2000操作系统上安装DSP Builder,其操作步骤如下: (1) 关闭以下应用软件:Quartus Ⅱ、MAX+PLUS Ⅱ、LeonardoSpectrum、Synplify、Matlab和Simulink以及ModelSim。 (2) 找到软件所在目录,双击DSPBuilder-v2.1.3.exe文件。 (3) 在出现的安装向导中,根据提示操作即可完成DSP Builder的安装。 如果在安装DSP Builder之前还没有安装Matlab软件,则在DSP Builder安装向导的最后将弹出对话框。 完成DSP Builder安装之后,依据下面的操作步骤在Matlab软件中查看DSP Builder的库:(1) 启动Matlab软件。 (2) 点击Matlab工具条上的Simulink快捷按钮,或在Matlab命令窗口输入Simulink

DSP技术及课程设计实验报告二(精)

DSP技术及课程设计实验报告二(精)

东南大学自动化学院 实验报告 课程名称: D SP 原理及C 程序开发 第二次实验 实验名称:基于DSP 系统的实验——指示灯、拨码开关和定时器院(系):自动化专业:自动化 姓名:学号: 实验室:实验组别: 同组人员:实验时间:2012 年 4 月 18日 评定成绩:审阅教师: 第一部分实验:基于DSP 系统的实验——指示灯和拨码开关 一.实验目的 1. 了解ICETEK –F28335-A 评估板在TMS320F28335DSP 外部扩展存储空间上的扩展。 2. 了解ICETEK –F28335-A 评估板上指示灯和拨码开关扩展原理。 3. 学习在C 语言中使用扩展的控制寄存器的方法。 二.实验设备 计算机,ICETEK –F28335-A 实验箱(或ICETEK 仿真器+ICETEK–F28335-A 评估板+相关连线及电源)。 三.实验原理

1.TMS320F28335DSP 的存储器扩展接口 存储器扩展接口是DSP 扩展片外资源的主要接口,它提供了一组控制信号和地址、数据线,可以扩展各类存储器和存储器、寄存器映射的外设。 -ICETEK –F28335-A 评估板在扩展接口上除了扩展了片外SRAM 外,还扩展了指示灯、DIP 开关和D/A 设备。具体扩展地址如下: 0x180004- 0x180005:D/A 转换控制寄存器 0x180001:板上DIP 开关控制寄存器 0x180000:板上指示灯控制寄存器 -与ICETEK –F28335-A 评估板连接的ICETEK-CTR 显示控制模块也使用扩展空间控制主要设备: 208000-208004h :读-键盘扫描值,写-液晶控制寄存器 208002-208002h :液晶辅助控制寄存器 208003-208004h :液晶显示数据寄存器 2.指示灯与拨码开关扩展原理

DSPbuilder安装

安装DSPbuilder 时要注意DSP builder 的版本要和quartus 的版本一致,matlab 的版本也要相适应。以下是版本对应情况: 安装DSPbuilder ,quartus 时要注意:如果你已经安装过quartus ,只是想添加DSPbuilder ,安装DSPbuilder 时安装路径应该和安装quartus 的文件在同一文件夹下。例如,我安装quartusde 路径为D:\Quartus ,那么DSPbuilder 的安装路径也应该在D:\Quartus 下。 matlab 上的一些必要操作上的一些必要操作(此项想操作摘自网上,原文(此项想操作摘自网上,原文(此项想操作摘自网上,原文为 为https://www.wendangku.net/doc/1014445850.html,/sleepy/archive/2011/06/28/2092362.html ) 打开matlab 点击Simulink 工具箱,在出现的Simulink Library Browser 栏中可发现多了以下两个工具库 依次单击它们,软件需要建立工具库。 这儿可能会碰到以下问题: 1.Matlab 出现很多warning ,跳出窗口报告出错,并自动退出软件

处理方法: 然后在X:\MATLAB\R2008a\toolbox\local\pathdef.m中删除与Altera有关的路径。注意需要空一行,如下所示: p=[... %%%BEGIN ENTRIES%%% (空一行) (此处删除的内容都以quartus开头) matlabroot,'\toolbox\matlab\general;',... matlabroot,'\toolbox\matlab\ops;',... matlabroot,'\toolbox\matlab\lang;',... matlabroot,'\toolbox\matlab\elmat;',... matlabroot,'\toolbox\matlab\elfun;',... 之后重新安装DSP Builder

相关文档