文档库 最新最全的文档下载
当前位置:文档库 › 基于Verilog 的有限状态机设计

基于Verilog 的有限状态机设计

基于Verilog 的有限状态机设计
基于Verilog 的有限状态机设计

基于Verilog 的有限状态机设计与优化

1 引言

在集成电路的设计过程中, 不论是使用FPGA还是ASIC 来实现, 有限状态机经常是作为一个电路设计的关键部分而出现的. 状态机的功能是否完善( 是否强壮型) 对整个电路会产生重大的影响.

有限状态机主要有两种类型: Moore 型状态机和M ealy 型状态机. Moore 型状态机是指输出只与当前状态有关, 与输入信号无直接关系. 而Mealy 型状态机的输出不只与当前状态有关, 还与输入信号有关.

文中以目前常用的硬件描述语言Verilog HDL 为基础, 对不同的状态机编码类型和状态机描述风格对状态机性能的影响进行了深入的分析. 分别使用Xilinx ISE 和Design Compiler 对实例进行了综合,分析了面积、速度与功耗的信息, 给出了对于不同类型状态机的最佳编码风格.

2 状态机编码

状态的编码方式最常用的有二进制码、格雷码和独热码三种, 不同的状态机编码方式对状态机的影响非常大. 如表1 所示.

二进制的编码从第一个状态到最后一个状态是按二进

制码的顺序排列的, 是一种最普通的编码方式.

表1 不同的编码比较

十进制码Binary code Gray code One- hot code

0 000 000 0001

1 001 001 0010

2 010 011 0100

3 011 010 1000

用格雷码进行状态的变换时, 相邻状态转换只有一个状态位发生翻转. 这样就可以消除转换时由多条状态信号线的传输延迟所造成的毛刺, 大大地减少了由一个状态到下一个状态时逻辑的混淆可以降低功耗.

one- hot 状态译码简单, 只有1 位是1 其他位为0, 易于修改, 可以减少组合逻辑, 但会使用更多的触发, 增加电路面积.

Binary- code、Gray- code 编码使用最少的触发器, 较多的组合逻辑, 而One- hot 编码反之.

因此, Binary 和Gray- code 适用于触发器资源较少, 组合电路资源丰富的情况( CPLD) , 对于FP GA 则更适用

One- hot code, 因为这样可以充分利用FPGA 丰富的触发器资源. 另外, 小型设计通常使用Binary- code 和Gray- code, 对于大型的设计使用One- hot code 效果更佳.

3 状态机的描述方法

状态机的描述方法通常有三种: 一段式( one al ways) 、二段式( two always) 和三段式( three always) .

3. 1 一段式

一段式的状态机描述方法是指将整个状态机写到一个alw ays 模块里, 该模块描述当前状态转移, 又描述状态的输入和输出.

由于一段式的描述方法是给STATE 自身赋值, 所以本身就会引入一个周期的延时, 需要在设计中通过预计算考虑进去.

一段式的描述方法所有输出都是寄存器输出的, 因此不会产生毛刺, 但是这种组合逻辑和时序逻辑都写到一起的方法是不可取的, 而且在描述当前状态的时候还要考虑到下一状态的输出. 这种描述方法可读

性差、难于理解和维护, 不利于时许约束、功能更改及调试, 对于Mealy 型的状态机来说, 容易出现Latches.

3. 2 二段式

二段式的状态机描述方法是指使用两个always模块, 其中一个always 模块采用同步时序的方式描述当前状态和下一状态的转移, 另一个alw ays 模块采用组合逻辑来描述下一状态和输出向量的赋值.

二段式的描述方法比一段式的更合理, 它更易于阅读、理解和维护, 更利于综合并优化代码. 但是它的缺点是第二个alw ays 块组合逻辑的输出会出现毛刺, 影响电路性能.

3. 3 三段式

三段式的状态机描述方法是由二段式发展而来的, 在二段式两个alw ays 的基础上又增加了一个alw ays 块来描述每个状态的输出, 用寄存器寄存了一拍, 达到同步输出的目的.

需要注意的是, 增加的一级寄存器会引入一个周期的延时, 需要在设计中通过预计算考虑进去, 避免逻辑发生错误. 这样一级寄存器的引入, 也就形成了FSMs 输入逻辑的一级流水.

引入了组合逻辑寄存, 输出同步不仅会带来运行性能的提升, 还会增加系统的稳定性, 防止输出信号出现毛刺, 防

止组合回路的产生.

4 实例分析

下面以一个简单红绿灯状态转移为例进行分析, 当RESET 信号给出以后进入IDEL 状态, 之后状态机的描述方法通常有三种: 一段式( one al 进入RED 状态, 之后进入GREEN 状态, 之后进入YELLOW 状态, 最后回到RED 状态. Default 时进入IDEL 状态.

4. 1 使用Xilinx ISE 进行分析

在使用Xilinx ISE 对例子进行综合的时候, 右键点中综

合软件, 选属性选型, 在HDL Options 中有一个优化选项为FSM Encoding Algorithm, 在其下拉菜单中选中AUTO( 默认项) , 工具就可以自动修改设计中的状态机的状态编码, 使其成为对FPGA 来说最优的编码风格.

对于本设计来说, 由于只有4 个状态, 所以状态编码被优化成了Gray- code. 但是经过验证, 在状态较多的状态机设计中, 状态编码一般都被优化成One- hot code.

通过表2, 对Xilinx ISE 的综合后报表进行分析可以看到, 由于one always 的状态机全部为时序电路,所以最大频率会比two alw ays 和three always 大.

在面积方面, two always 型的状态机所占面积最小, three alw ays 稍大, 而one alw ays 型的状态机所用门数最多, 所占面积也最大.

对于功耗来说, 使用Xilinx ISE 集成的Xpower进行功耗分析, 所得到的三种状态机编码风格的功耗并不存在明显差异.

表2 Xilinx ISE 的综合结果

使用门数最大频率

one alw ays 8 313. 283MHz

two always 43 312. 891MHz

three alw ays 67 312. 891MHz

4. 2 使用Design Compiler 进行分析

在使用Design Complier 对所举实例进行分析话时, 分别选取了1always& binary、1always& one - hot、2always& binary、2alw ays& one - hot 、3alw ays& binary、3always& one- hot 等6 种设计, 每个设计分别设定了2 个时钟周期( . ns 和7ns) 进行分析. 综合得到的面积和延时的结果按顺序标在图2、图3 中. 表3、表4 给出了详细的数据.

由图2 可见, 2alw ays 在面积上具有明显优势,其次是3alw ays. 由图3 对时序的分析可见, 2alw ays也是具有一定的优势.

比较使用Design Compiler 和Xilinx ISE 的综合结果可以发现, 不论是使用FPGA 还是ASIC, tw oalw ays 所占面积总是最小的, 其次是three alw ays,而one alw ays 所占面积最大. 这主要是由于one alw ays 的状态机全部为时序电路, 所以花费的面积最大, three alw ays 由于多采用一级寄存器同步, 所以所花费的面积比two always 的要大一些.

5 比较分析

通过以上分别使用Xilinx ISE 和Design Compiler 对实例进行的分析, 可见two alw ays 的编码风格存在巨大的优

势. 因为Moore 型的状态机输出只有状态寄存器驱动, 状态机所受影响差异不会很大,所以建议对Moore 型状态机采用two alw ays 的编码风格.

而Mealy 型状态机, 输出不只与当前状态有关,还与输入信号有关, 输出是组合逻辑的输出, 这样在每个STATE 中产生的信号复杂而且有不同的timeskew , 如果信号直接输出而不引用寄存器同步的话, 在高频的电路中很可能会导致时序上的错误. 所以建议使用three alw ays 风格, 将输出同步一拍.

对于one alw ays 的风格, 虽然在一些开源的IP盒中经常见到, 但是通过以上的分析, 可以发现这种状态及描述风格存在着巨大的缺陷.

至于状态编码的使用, 对于FPGA 来说建议使用工具自动优化状态机的状态编码, 而对于ASIC 来说, 建议在小型设计中使用Binary- code 和Gray code, 而对于大型的设计则使用One- hot code.

6 结束语

文中结合了一个Verilog HDL 的实例, 对三种不同的状态机编码和状态机描述方式进行了深入的研究, 分析了其各自的优缺点. 并分别使用Xilinx ISE 和Design Compiler 对一个实例进行了综合, 对面积、速度与功耗进行了分析. 结

果表明one alw ays的写法需要被摒弃, two alw ays 的编码风格适合Moore 型状态机, 而three always 的编码风格适合Mealy 型状态机. 文中同时也给出了适合不同设计的最优状态编码。

Design and optimization of finite state machine based on Verilog

1 Introduction

In the design of integrated circuits, either the FPGA or ASIC to realize, finite state machine is often appear as a key part of the circuit design. The state machine function is perfect (or strong) will have a significant impact on the entire circuit.

Finite state machines are of two main types: the type of Moore state machine and M type ealy state machine. The Moore state machine is refers to the output depends only on the current state, has no direct relation with the input signal. The output of Mealy state machine is not only related to the current state, but also related to the input signal

Based on the commonly used hardware description language Verilog HDL as the foundation, has carried on the thorough analysis to the state machine coding type and state machine to describe the influence of different style state machine performance. The Xilinx ISE and Design Compiler of examples of comprehensive, analysis of the area, speed and power consumption information given the best coding style, for different types of state machine

2 state machine code

State encoding has the most commonly used binary, gray code and one hot encoding three, state machine coding different effects on the state machine is very large. As shown in Table 1

Binary code from the first state to the last state is based on the binary code sequence, is one of the most common coding mode

Table 1 Comparison of different coding

Decimal code Binary code Gray code One- hot code

0 000 000 0001

1 001 001 0010

2 010 011 0100

3 011 010 1000

The state with the gray transform, adjacent to state transition is only one state bit flip. This can eliminate conversion transmission by a plurality of signal wire delay caused by burr, greatly reduced from one state to the next state logic confusion can reduce power consumption

One- hot decoding is simple, only 1 are 1 other bits are 0, easy to modify, can reduce the combinational logic, but will use more of the trigger, increase in circuit area

Binary- code, Gray- code coding with the least

amount of trigger, combinational logic more, while the One- hot code and vice versa

Therefore, Binary and Gray- code apply to trigger less resources, combined circuit resource rich (CPLD), GA was more suitable for FP One- hot code, because it can make full use of the resource rich FPGA triggers. In addition, small design commonly used Binary- code and Gray- code, for a large design using One- hot the code effect is better

3 state machine description method

There are three method for describing the state machine: a type (one al ways), the two section type (two always) and three section type (three always)

The 3.1 section type

State machine description method of a type refers to the entire state machine to write to a alw ays module, the module to describe the current state transfer, and describe the state of the input and output

The description method of a type is for STATE self

assignment, so itself into a cycle of delay, need in the design through the pre computation into account Description method of a type of all outputs are register output, so it does not produce the burr, but this combination logic and time logic are written together method is not desirable, but when describing the current state but also take into account the output state. Read this description method

Poor, difficult to understand and maintain, Xu constraint, function change and debugging is not conducive to, for the state machine of Mealy type, prone to Latches

3.2 two section type

State machine description method of two section type refers to the use of the two always module, the always module uses a synchronous sequential way of describing the current state and next state transfer, another alw ays module using the combinational logic to describe the assignment the next state and output vector

The two section type description method is more

reasonable than a type, it is easier to read, understand and maintain, more conducive to the comprehensive and optimized code. But it has the disadvantage of output second alw block ays combinational logic will appear burr, impact on circuit performance

3.3 three section type

State machine description method of three section type is composed of two section type development, based on the two stage of two alw ays adds a alw ays block to describe each state of the output, with register register a beat, to achieve synchronization output purposes

Need to pay attention to is, increased a class register will introduce a cycle of delay, needs in the design by pre computing into consideration, to avoid error logic. Such a class register is introduced, also formed the FSMs input logic level of water

The combinational logic register, output synchronization will not only bring performance improvement, but also increase the stability of the

system, to prevent the output signal burr, prevent combination circuit

The 4 example analysis

The state of a simple traffic lights as an example for analysis, when the RESET signal is given after entering into the IDEL state, there are three after the State Machine Description: a type (after the one Al in the RED state, in the state of GREEN, in the YELLOW state, and finally back to the RED Default in the IDEL state.

4.1 using Xilinx ISE analysis

In the use of Xilinx ISE integrated time for example, right click in the integrated software, selected

attribute selection, the HDL Options has a optimization options for FSM Encoding Algorithm, select the AUTO in the drop-down menu (the default), tools can automatically modify the set the state of the state machine code in the program, the optimal FPGA coding style

For this design, because only 4 state, so the state code is optimized for Gray- code. but after verification, the design of state machine state more, state encoding are generally optimized One- hot code From table 2, the Xilinx ISE comprehensive report of the analysis we can see, the one always state machine for sequential circuits, so the maximum frequency than the two alw ays and three always

In terms of area, state machine of two type always area minimum, three alw ays slightly larger, but the state machine one alw ays type used by the door number, area is the largest

For power, the use of Xilinx ISE integrated Xpower power analysis, power consumption by three kinds of

state machine coding style does not exist significant differences

The Xilinx ISE Table 2

Use a door number maximum frequency

one alw ays 8 313. 283MHz

two always 43 312. 891MHz

three alw ays 67 312. 891MHz

4.2 using Design Compiler analysis

In the use of Design Complier to the examples of words, were selected by 1always& binary, 1always& one hot, 2always& binary, 2alw ays& one hot, 3alw ays& binary, 3always& one- hot 6 designs, each design are set 2 clock cycles (NS and 7ns) were analyzed. Integrated the area and delay of the results sequentially labeled in the figure 2, figure 3, table

3, table 4 gives the detailed data

Can be seen from Figure 2, the 2alw ays has an obvious advantage in the area, followed by the analysis of 3alw ays. from Figure 3 for timing of visible, 2alw ays also has certain advantages

verilog经典三段式状态机设计实例

Moore型verilog源代码:FSM实现10010串的检测Moore状态转移图 module moorefsm(clk,rst,a,z); input clk,rst; input a; output z; reg z; reg [3:0] currentstate,nextstate; parameter S0 = 4'b0000; parameter S1 = 4'b0001; parameter S2 = 4'b0010; parameter S3 = 4'b0011; parameter S4 = 4'b0100; parameter S5 = 4'b0101; always@(posedge clk or negedge rst) begin if(!rst) currentstate <= S0; else

currentstate <= nextstate; end always@(currentstate or a or rst) begin if(!rst) nextstate = S0; else case(currentstate) S0: nextstate = (a==1)?S1:S0; S1: nextstate = (a==0)?S2:S1; S2: nextstate = (a==0)?S3:S1; S3: nextstate = (a==1)?S4:S0; S4: nextstate = (a==0)?S5:S1; S5: nextstate = (a==0)?S3:S1; default: nextstate = S0; endcase end always@(rst or currentstate) begin if(!rst) z = 0; else case(currentstate) S0: z = 0;S1: z = 0;S2: z = 0; S3: z = 0;S4: z = 0;S5: z = 1; default: z = 0; endcase end endmodule

帧格式头数据检测_VerilogHDL有限状态机

题目1:串行通讯中,经常要检测数据包的开始标志,例如:USB 数据包的起 始数据是8‘b00101010设计一个电路,能够检测串行数据流中的特殊数据串,并在数据串有效时,给出相应的指示信号; (1)RTL Code /*信号定义与说明 Clk:同步时钟 rstb: 异步复位信号,低电平复位 up_down: 加/减计数方向控制信号,1为加计数 Din:串行数据输入 pat_det: 检测结果输出 */ `timescale 1ns/1ns module serialdata(din,clk,rstb,pat_det); input clk,rstb; input din; output pat_det; parameter S0='d0,S1='d1,S2='d2,S3='d3,S4='d4,S5='d5,S6='d6,IDLE='d7; reg[2:0] state; assign pat_det=(state= =S6&&din= =0)?1:0; always@(posedge clk or negedge rstb) begin if(!rstb) state<=IDLE; else begin case(state) IDLE: begin if(din==0) state<=S0; else state<=IDLE; end S0: begin if(din==0) state<=S1; else state<=IDLE; end S1: begin if(din==1) state<=S2; else state<=S1; end S2:

begin if(din==0) state<=S3; else state<=IDLE; end S3: begin if(din==1) state<=S4; else state<=S1; end S4: begin if(din==0) state<=S5; else state<=IDLE; end S5: begin if(din==1) state<=S6; else state<=S1; end S6: state<=IDLE; default: state<=IDLE; endcase end end endmodule (2)Test File `timescale 1ns/1ns `include "serialdata.v" module tb_serialdata; reg clk,rstb; wire din; wire pat_det; reg[31:0] data; assign din=data[31]; serialdata t1(din,clk,rstb,pat_det); initial begin clk=1'b0; rstb=1'b0; data=32'b1001_0010_1010_1100_1010_1000_1010_1010; #10 rstb=1'b1; #640 $stop; end

Verilog设计练习十例及答案

设计练习进阶 前言: 在前面九章学习的基础上,通过本章的练习,一定能逐步掌握Verilog HDL设计的要点。我们可以先理解样板模块中每一条语句的作用,然后对样板模块进行综合前和综合后仿真,再独立完成每一阶段规定的练习。当十个阶段的练习做完后,便可以开始设计一些简单的逻辑电路和系统。很快我们就能过渡到设计相当复杂的数字逻辑系统。当然,复杂的数字逻辑系统的设计和验证,不但需要系统结构的知识和经验的积累,还需要了解更多的语法现象和掌握高级的Verilog HDL系统任务,以及与C语言模块接口的方法(即PLI),这些已超出的本书的范围。有兴趣的同学可以阅读Verilog语法参考资料和有关文献,自己学习,我们将在下一本书中介绍Verilog较高级的用法。 练习一.简单的组合逻辑设计 目的: 掌握基本组合逻辑电路的实现方法。 这是一个可综合的数据比较器,很容易看出它的功能是比较数据a与数据b,如果两个数据相同,则给出结果1,否则给出结果0。在Verilog HDL中,描述组合逻辑时常使用assign 结构。注意equal=(a==b)1:0,这是一种在组合逻辑实现分支判断时常使用的格式。 模块源代码: " qual(equal),.a(a),.b(b)); 简单时序逻辑电路的设计 目的:掌握基本时序逻辑电路的实现。

在Verilog HDL中,相对于组合逻辑电路,时序逻辑电路也有规定的表述方式。在可综合的Verilog HDL模型,我们通常使用always块和@(posedge clk)或@(negedge clk)的结构来表述时序逻辑。下面是一个1/2分频器的可综合模型。 eset(reset),.clk_in(clk),.clk_out(clk_out)); endmodule 仿真波形: 练习:依然作clk_in的二分频clk_out,要求输出与上例的输出正好反相。编写测试模块,给出仿真波形。 练习三. 利用条件语句实现较复杂的时序逻辑电路 目的:掌握条件语句在Verilog HDL中的使用。 与常用的高级程序语言一样,为了描述较为复杂的时序关系,Verilog HDL提供了条件语句供分支判断时使用。在可综合风格的Verilog HDL模型中常用的条件语句有if…else和case…endcase两种结构,用法和C程序语言中类似。两者相较,if…else用于不很复杂的分支关系,实际编写可综合风格的模块、特别是用状态机构成的模块时,更常用的是case…endcase 风格的代码。这一节我们给的是有关if…else的范例,有关case…endcase结构的代码已后会经常用到。 下面给出的范例也是一个可综合风格的分频器,是将10M的时钟分频为500K的时钟。

verilog可综合有限状态机的4种写法(夏文宇书注)

verilog可综合有限状态机的4种写法(夏文宇书注) 第一种:自然编码 module fsm1( input i_clk, input rst_n, input A, output reg K1, output reg K2, output reg [1:0] state ); parameter Idle=2'b00, Start=2'b01, Stop=2'b10, Clear=2'b11; [email=always@(posedge]always@(posedge[/email] i_clk) if(!rst_n) begin state<=Idle; K2<=0; K1<=0; end else case(state) Idle:if(A) begin state<=Start; K1<=0; end else begin state<=Idle; K2<=0; K1<=0; end Start:if(!A) state<=Stop; else state<=Start; Stop:if(A) begin state<=Clear; K2<=1;

else begin state<=Stop; K2<=0; K1<=0; end Clear:if(!A) begin state<=Idle; K1<=1; K2<=0; end else begin state<=Clear; K2<=0; K1<=1; end default: state<=Idle; endcase endmodule 这种大家应该都熟悉的 第二种:采用独热编码,据说其可靠性和速度都不错module fsm2( input i_clk, input rst_n, input A, output reg K1, output reg K2, output reg [3:0] state ); parameter Idle=4'b1000; parameter Start=4'b0100; parameter Stop=4'b0010; parameter Clear=4'b0001; always@(posedge i_clk) begin if(!rst_n)

Verilog三段式状态机的建模方法和注意事项

Verilog三段式状态机(FSM) 网上收集整理…… 时序电路的状态是一个状态变量集合,这些状态变量在任意时刻的值都包含了为确定电路的未来行为而必需考虑的所有历史信息。 状态机采用VerilogHDL语言编码,建议分为三个always段完成。这是为什么呢? 设计FSM的方法和技巧多种多样,但是总结起来有两大类:第一种,将状态转移和状态的操作和判断等写到一个模块(process、block)中。另一种是将状态转移单独写成一个模块,将状态的操作和判断等写到另一个模块中(在Verilog代码中,相当于使用两个“always”block)。其中较好的方式是后者。其原因如下。 首先FSM和其他设计一样,最好使用同步时序方式设计,好处不再累述。而状态机实现后,状态转移是用寄存器实现的,是同步时序部分。状态的转移条件的判断是通过组合逻辑判断实现的,之所以第二种比第一种编码方式合理,就在于第二种编码将同步时序和组合逻辑分别放到不同的程序块(process,block)中实现。这样做的好处不仅仅是便于阅读、理解、维护,更重要的是利于综合器优化代码,利于用户添加合适的时序约束条件,利于布局布线器实现设计。 三段式建模描述FSM的状态机输出时,只需指定case敏感表为次态寄存器,然后直接在每个次态的case分支中描述该状态的输出即可,不用考虑状态转移条件。 三段式描述方法虽然代码结构复杂了一些,但是换来的优势是使FSM做到了同步寄存器输出,消除了组合逻辑输出的不稳定与毛刺的隐患,而且更利于时序路径分组,一般来说在FPGA/CPLD等可编程逻辑器件上的综合与布局布线效果更佳。 示例如下: //第一个进程,同步时序always模块,格式化描述次态寄存器迁移到现态寄存器 always @ (posedge clk or negedge rst_n) //异步复位 if(!rst_n) current_state <= IDLE; else current_state <= next_state;//注意,使用的是非阻塞赋值 //第二个进程,组合逻辑always模块,描述状态转移条件判断 always @ (current_state) //电平触发 begin next_state = x; //要初始化,使得系统复位后能进入正确的状态 case(current_state) S1: if(...) next_state = S2; //阻塞赋值 ... endcase end

Verilog代码描述对状态机综合的研究

Verilog HDL代码描述对状态机综合的研究 1 引言 Verilog HDL作为当今国际主流的HDL语言,在芯片的前端设计中有着广泛的应用。它的语法丰富,成功地应用于设计的各个阶段:建模、仿真、验证和综合等。可综合是指综合工具能将Verilog HDL代码转换成标准的门级结构网表,因此代码的描述必须符合一定的规则。大部分数字系统都可以分为控制单元和数据单元两个部分,控制单元的主体是一个状态机,它接收外部信号以及数据单元产生的状态信息,产生控制信号,因而状态机性能的好坏对系统性能有很大的影响。 有许多可综合状态机的Verilog代码描述风格,不同代码描述风格经综合后得到电路的物理实现在速度和面积上有很大差别。优秀的代码描述应当易于修改、易于编写和理解,有助于仿真和调试,并能生成高效的综合结果。 2 有限状态机 有限状态机(Finite State Machine,FSM)在数字系统设计中应用十分广泛。根据状态机的输出是否与输入有关,可将状态机分为两大类:摩尔(Moore)型状态机和米莉(Mealy)型状态机。Moore型状态机的输出仅与现态有关;Mealy型状态机的输出不仅与现态有关,而且和输入也有关。图1是有限状态机的一般结构图,它主要包括三个部分,其中组合逻辑部分包括状态译码器和输出译码器,状态译码器确定状态机的下一个状态,输出译码器确定状态机的输出,状态寄存器属于时序逻辑部分,用来存储状态机的内部状态。 图1 状态机的结构框图 2.1 好的状态机标准 好的状态机的标准很多,最重要的几个方面如下: 第一,状态机要安全,是指FSM不会进入死循环,特别是不会进入非预知的状态,而且由于某些扰动进入非设计状态,也能很快的恢复到正常的状态循环中来。这里面有两层含义。其一要求该FSM的综合实现结果无毛刺等异常扰动,其二要求FSM要完备,即使受到异常扰动进入非设计状态,也能很快恢复到正常状态。 第二,状态机的设计要满足设计的面积和速度的要求。 第三,状态机的设计要清晰易懂、易维护。 需要说明的是,以上各项标准,不是割裂的,它们有着直接紧密的内在联系。在芯片设计中,对综合结果评判的两个基本标准为:面积和速度。“面积”是指设计所占用的逻辑资源数量;“速度”指设计在芯片上稳定运行所能够达到的最高频率。两者是对立统一的矛盾体,要求一个设计同时具备设计面积最小,运行频率最高,这是不现实的。科学的设计目标应该是:在满足设计时序要求(包含对设计最高频率的要求)的前提下,占用最小

verilog有限状态机实验报告(附源代码)

有限状态机实验报告 一、实验目的 ●进一步学习时序逻辑电路 ●了解有限状态机的工作原理 ●学会使用“三段式”有限状态机设计电路 ●掌握按键去抖动、信号取边沿等处理技巧 二、实验内容 用三段式有限状态机实现序列检测功能电路 a)按从高位到低位逐位串行输入一个序列,输入用拨动开关实现。 b)每当检测到序列“1101”(不重叠)时,LED指示灯亮,否则灭,例如 i.输入:1 1 0 1 1 0 1 1 0 1 ii.输出:0 0 0 1 0 0 0 0 0 1 c)用八段数码管显示最后输入的四个数,每输入一个数,数码管变化一次 d)按键按下的瞬间将拨动开关状态锁存 i.注意防抖动(按键按下瞬间可能会有多次的电平跳变) 三、实验结果 1.Rst_n为0时数码管显示0000,led灯不亮,rst_n拨为1,可以开始输入,将输 入的开关拨到1,按下按钮,数码管示数变为0001,之后一次类推分别输入1, 0,1,按下按钮后,数码管为1101,LED灯亮,再输入1,LED灯灭,之后再输 入0,1(即共输入1101101使1101重叠,第二次LED灯不亮),之后单独输入

1101,LED灯亮 2.仿真图像 刚启动时使用rst_n 一段时间后 其中Y代表输出,即控制led灯的信号,sel表示数码管的选择信号,seg表示数码管信号 四、实验分析 1、实验基本结构

其中状态机部分使用三段式结构: 2、整体结构为:

建立一下模块: Anti_dither.v 输入按键信号和时钟信号,输出去除抖动的按键信号生成的脉冲信号op 这一模块实现思路是利用按钮按下时会持续10ms以上而上下抖动时接触时间不超过10ms来给向下接触的时间计时,达到上限时间才产生输出。 Num.v 输入op和序列输入信号A,时钟信号clk和复位信号,复位信号将num置零,否则若收到脉冲信号则将num左移一位并将输入存进最后一位。输出的num即为即将在数码管上显示的值 Scan.v 输入时钟信号,对其降频以产生1ms一次的扫描信号。 Trigger.v 这一模块即为状态机模块,按三段式书写。 整个模块的输入为时钟信号,脉冲信号,序列输入变量,复位信号,输出LED灯控制信号Y。 第一段是状态转换模块,为时序逻辑电路,功能是描述次态寄存器迁移到现态寄存器。即如果收到复位信号将现态置零,否则将上次得到的next_state赋给current_state。

转《明德扬分享》之【状态机的使用】

转《明德扬分享》之【状态机的使用】 (本文档由明德扬精心设计,版权归明德扬科教所有,转载请注明出处,否则明德扬有权追究其法律责任) 1.状态机概述 1.1 状态机的定义及作用 有限状态机FSM(Finite State Machine)简称状态机,通俗地说,状态机就是把全部的情况分成几个场景,这些场景的工作方式明显不同。广义而言,因触发器本身就是若干状态的集合,故只要涉及触发器应用的电路都属于状态机范畴,也即任何时序模型都可以归结为一个状态机。 状态机是数字系统设计的重要组成部分,对于数字系统设计工程师,面对的只要是时序电路设计,状态机的概念则是必须贯穿于整个设计始终的最基本的设计思想和设计方法论。只有从电路状态的角度去考虑,才能从根本上把握可靠、高效的时序逻辑的设计关键。在现代数字系统设计中,状态机的设计对系统的高速性能、高可靠性、高稳定性都具有决定性的作用。 状态机应用广泛(特别是对于操作和控制流程非常明确的系统设计),在数字通信领域、自动化控制领域、CPU设计领域等都拥有不可或缺的重要地位。 1.2 状态机的分类 按照不同的标准,状态机也有多种不同的分类形式,主要有以下几种: 1) 以状态机的信号输出方式分,有Mealy型和Moore型两种状态机。Mealy型状态机输出由当前状态和输入共同确定,Moore型状态机输出则仅取决于当前状态。 2) 以状态机的描述结构上分,有一段式、两段式、三段式三种类型状态机。 l 将整个状态机写到1个进程模块里,在该模块中既描述状态转移又描述状态的输入输出,称为一段式描述方法,即所谓的单进程状态机; l 一个模块用同步时序描述状态转移,另一个模块用组合逻辑判断状态转移条件、描述状态转移规律及逻辑输出,称为两段式描述方法;

Verilog奇偶分频、一段式、两段式、三段式状态机

汇报总结 1、偶数分频 偶数倍分频相对简单,可以通过计数器对预分频的脉冲沿计数实现,如果要进行N倍(N为整数)偶数分频,可由预分频的时钟触发计数器计数,当计数器从0计数到N/2—1时,输出时钟进行翻转,并给计数器一个复位信号,使得下一个时钟从零开始计数,以此循环下去。分频的主体程序如下: `define div_en 8 module freq_div_even(clk_in, reset, clk_out ); input clk_in; input reset; output clk_out; reg clk_out; reg[2:0] count; initial begin count=0; clk_out=0; end always@(posedge clk_in) begin if(!reset) begin count<=0; clk_out<=0; end else if(count==(`div_en/2-1)) begin clk_out<=~clk_out; count<=0; end else begin count<=count+1; end end endmodule 下面定义N为8,对一个脉冲8分频,测试程序如下: `timescale 1ns/1ns module testbench; reg reset; reg clk_in; reg[2:0] count;

wire clk_out; freq_div_even test(.clk_in(clk_in), .reset(reset), .clk_out(clk_out) ); initial begin reset=0; clk_in=0; #5 reset=1; end always #10 clk_in=~clk_in; endmodule 波形图如下: 2、奇数分频 对于对占空比没有特殊要求的奇数分频,需要对上升沿和下降沿脉冲进行计数,利用下降沿产生的波形移相半个输入脉冲的作用,最后用错位“异或”法实现。一个n(n=3)分频的程序如下: module clk_divN( clk_in, reset, clk_out ); input clk_in; input reset; output clk_out; integer cnt1,cnt2; reg clk_divp; reg clk_divn; parameter n=3; always@(posedge clk_in) begin if(!reset) begin clk_divp<=0; cnt1<=0; end else

Verilog状态机的写法

Verilog状态机的写法-转 1 引言 Verilog HDL作为当今国际主流的HDL语言,在芯片的前端设计中有着广泛的应用。它的语法丰富,成功地应用于设计的各个阶段:建模、仿真、验证和综合等。可综合是指综合工具能将Verilog HDL代码转换成标准的门级结构网表,因此代码的描述必须符合一定的规则。大部分数字系统都可以分为控制单元和数据单元两个部分,控制单元的主体是一个状态机,它接收外部信号以及数据单元产生的状态信息,产生控制信号,因而状态机性能的好坏对系统性能有很大的影响。 有许多可综合状态机的Verilog代码描述风格,不同代码描述风格经综合后得到电路的物理实现在速度和面积上有很大差别。优秀的代码描述应当易于修改、易于编写和理解,有助于仿真和调试,并能生成高效的综合结果。 2 有限状态机 有限状态机(Finite State Machine,FSM)在数字系统设计中应用十分广泛。根据状态机的输出是否与输入有关,可将状态机分为两大类:摩尔(Moore)型状态机和米莉 (Mealy)型状态机。Moore型状态机的输出仅与现态有关;Mealy型状态机的输出不仅与现态有关,而且和输入也有关。图1是有限状态机的一般结构图,它主要包括三个部分,其中组合逻辑部分包括状态译码器和输出译码器,状态译码器确定状态机的下一个状态,输出译码器确定状态机的输出,状态寄存器属于时序逻辑部分,用来存储状态机的内部状态。 图1 状态机的结构框图 2.1 好的状态机标准 好的状态机的标准很多,最重要的几个方面如下: 第一,状态机要安全,是指FSM不会进入死循环,特别是不会进入非预知的状态,而且由于某些扰动进入非设计状态,也能很快的恢复到正常的状态循环中来。这里面有两层含义。其一要求该FSM的综合实现结果无毛刺等异常扰动,其

状态机写法

1 引言 Verilog HDL作为当今国际主流的HDL语言,在芯片的前端设计中有着广泛的应用。它的语法丰富,成功地应用于设计的各个阶段:建模、仿真、验证和综合等。可综合是指综合工具能将Verilog HDL代码转换成标准的门级结构网表,因此代码的描述必须符合一定的规则。大部分数字系统都可以分为控制单元和数据单元两个部分,控制单元的主体是一个状态机,它接收外部信号以及数据单元产生的状态信息,产生控制信号,因而状态机性能的好坏对系统性能有很大的影响。 有许多可综合状态机的Verilog代码描述风格,不同代码描述风格经综合后得到电路的物理实现在速度和面积上有很大差别。优秀的代码描述应当易于修改、易于编写和理解,有助于仿真和调试,并能生成高效的综合结果。 2 有限状态机 有限状态机(Finite State Machine,FSM)在数字系统设计中应用十分广泛。根据状态机的输出是否与输入有关,可将状态机分为两大类:摩尔(Moore)型状态机和米莉(Mealy)型状态机。Moore型状态机的输出仅与现态有关;Mealy型状态机的输出不仅与现态有关,而且和输入也有关。图1是有限状态机的一般结构图,它主要包括三个部分,其中组合逻辑部分包括状态译码器和输出译码器,状态译码器确定状态机的下一个状态,输出译码器确定状态机的输出,状态寄存器属于时序逻辑部分,用来存储状态机的内部状态。

图1 状态机的结构框图 2.1 好的状态机标准 好的状态机的标准很多,最重要的几个方面如下: 第一,状态机要安全,是指FSM不会进入死循环,特别是不会进入非预知的状态,而且由于某些扰动进入非设计状态,也能很快的恢复到正常的状态循环中来。这里面有两层含义。其一要求该FSM的综合实现结果无毛刺等异常扰动,其二要求FSM要完备,即使受到异常扰动进入非设计状态,也能很快恢复到正常状态。 第二,状态机的设计要满足设计的面积和速度的要求。 第三,状态机的设计要清晰易懂、易维护。 需要说明的是,以上各项标准,不是割裂的,它们有着直接紧密的内在联系。在芯片设计中,对综合结果评判的两个基本标准为:面积和速度。“面积”是指设计所占用的逻辑资源数量;“速度”指设计在芯片上稳定运行所能够达到的最高频率。两者是对立统一的矛盾体,要求一个设计同时具备设计面积最小,运行频率最高,这是不现实的。科学的设计目标应该是:在满足设计时序要求(包含对设计最高频率的要求)的前提下,占用最小的芯片面积,或者在所规定的面积下,使设计的时序余量更大,频率更高。另外,如果要求FSM安全,则很多时候需要使用“full case”的编码方式,即将状态转移变量的所有向量组合情况都在FSM 中有相应的处理,这经常势必意味着要多花更

Verilog HDL入门基础之时序状态机的设计

时序状态机的设计入门与提高 计算机及具有存储器或按照所存储信息执行一系列操作的其他数字系统统称为“时序状态机”,其电路可以通过时序逻辑进行建模。时序状态机的性能与组合逻辑不同,因为时序状态机的输出不仅仅取决于当前的输入值,而且取决于历史的输入值。时序状态机被广泛应用于需要指定顺序操作的应用中。所有的时序状态机都具有如图的通用反馈结构,在这种结构中时序状态机的下一状态是由当前状态和当前输入一起形成的: 时序状态机可以按是否受一个公共的时钟控制(钟控)分为同步状态机和异步状态机;根据状态数目是否有限分为有限状态机和无限状态机。此处只讨论有限状态机。 有限状态机的(FSM)分类 有限状态机有米利(Mealy)机和摩尔(Moore)机: 米利(Mealy)机: 米利(Mealy)机的下一状态和输出都取决于当前状态和当前输入。 摩尔(Moore)机: 摩尔(Moore)机的下一状态取决于当前状态和当前输入,而输出仅仅取决于当前状态。 有限状态机常用的描述、开发方法 有限状态机可以借助时序图、状态表、状态图以及ASM图进行系统的描述与设计。

?时序图可以用于说明系统中及系统与周围环境的接口中信号的有效输入与状态转移之间的关系。 ?状态表与状态转移表以表格的形式表示在当前状态和输入的各种组合下状态机的下一状态和输出 ?状态转移图(STG)是一种有向图,图中带有标记的节点或顶点与时序状态机的状态一一对应。当系统处于弧线起点的状态时,用有向边或弧线表示在输入信号的作用下可能发生的状态转移。米利机STG的顶点用状态进行标记,状态转移图的有向边有下面两种标记方法: (1)用能够导致状态向指定的下一状态转移的输入信号来标记 (2)在当前状态下,用输入信号的输出来进行标记 摩尔机的状态转移图与米利机相类似,但它的输出是由各状态的顶点来表示的,而不是在弧线上表示 ?算法状态机(ASM)图是时序状态机功能的一种抽象,是模拟其行为特性的关键工具。 它类似于软件流程图,但显示的是计算流程图(如寄存器操作)的时间顺序,以及在状态机输入影响下发生的时序步骤。ASM图描述的是状态机的行为动作,而不是存储元件所存储的内容。有时候用机器工作期间的行为动作来描述状态机的状态,比起用状态机产生的数据进行描述更为方便也更为重要 ?ASMD图是ASM图的扩展。状态机的一个重要应用就是控制时序状态机数据通道上的寄存器操作,而该时序状态机已被分为控制器和数据通道。控制器可以用ASM图来描述,我们修改ASM图的目的是把它连接到状态机所控制的数据通道上。当控制器的状态沿着通道发生转移时,通过标注每个数据通道来指出那些在相关数据通道单元中所发生的寄存器操作以这种方式连接到数据通道的ASM图被称为算法状态机和数据通道(ASMD)图。在把时序状态机数据通道的设计从控制器的设计中分离出来,并在两个单元之间保持清晰联系的情况下,ASMD图有助于阐明这样的时序状态机设计方法。与状态转移并行发生的寄存器操作是在图的通道上标注的,而不是在通道上的条件框或状态框中标注的,因为这些寄存器并不是控制器的一部分。由控制器产生的输出是那些控制数据通道寄存器的信号,以及引发ASM图上标注的寄存器操作的信号。 基于状态转移图(STG)的设计 对于一个同步时序状态机的给定的STG,设计的任务就是确定下一状态和输出逻辑。如果用一个二进制码来表示时序状态机的状态,那么其值可以存储在触发器中。在时钟的各个有效沿处,状态保持触发器的输入变成下一个时钟周期的状态。同步时序状态机的设计就是要根据机器的状态和外部输入来确定能形成触发器输入的逻辑,该逻辑为组合逻辑,并且应该是最简逻辑。对于有效地STG而言,其每个顶点必须表示一个唯一的状态;每个弧线则表示在指定输入信号的作用下,从给定状态到下一状态的转移,并且从一个节点出发的各弧线必须对应一个唯一的输入。通常,与从一个节点出发的一组弧线有关的布尔条件必须满足和为1(即状态转移图必须考虑到从一个节点出发的所有的可能的状态转移),并且在给定状态下与输入变量判定有关的每个分支条件必须对应于一条唯一的弧线(即时序状态机仅可以由一个节点经过一条弧线转移到下一状态)。根据时钟到来之前的状态值和当前输入值,由同步时序状态机的STG所表示的状态转移将在时钟信号的有效沿处发生。 基于状态转移图(STG)的有限状态机的系统设计方法通常包括以下几个步骤: (1)构建状态机的STG (2)消去等价状态

硬件描述语言08-09B卷标准答案

2008/2009 学年第一学期末考试试题答案及评分标准 (B卷) 硬件描述语言及器件 使用班级:06060241/06060242 一、填空题(30分,每空格1分) 1、试举出两种可编程逻辑器件 CPLD 、 FPGA 。 2、VHDL程序的基本结构至少应包括实体、结构体两部分和对 库的引用声明。 3、1_Digital标识符合法吗?否, \12 @ +\ 呢?合法。 4、在VHDL的常用对象中,信号、变量可以被多次赋予不同的值, 常量只能在定义时赋值。 5、实体的端口模式用来说明数据、信号通过该端口的传输方向,端口模式有 in 、 Out 、 inout 、 buffer 。 6、VHDL语言中std_logic类型取值‘Z’表示高阻,取值‘X’表示不确定。 7、整型对象的范围约束通常用 range 关键词,位矢量用 downto/to 关键词。 8、位类型的初始化采用(字符/字符串)字符、位矢量用字符串。 9、进程必须位于结构体内部,变量必须定义于进程/包/子程序内部。 10、并置运算符 & 的功能是把多个位或位向量合并为一个位向量。 11、进程执行的机制是敏感信号发生跳变。 12、判断CLK信号上升沿到达的语句是 if clk’event and clk = ‘1’ then . 13、 IF 语句各条件间具有不同的优先级。

14、任何时序电路都以时钟为驱动信号,时序电路只是在时钟信号的边沿到来时, 其状态才发生改变。 15、 Moore 状态机输出只依赖于器件的当前状态,与输入信号无关。 二、判断对错并改正(12分,每小题3分) 1、CONSTANT T2:std_logic <= ’0’; (错)改正:把<= 换为:= 。 2、若某变量被定义为数值型变量,未赋初始值时默认值为‘0’。 (错)改正:把‘0’的单引号去掉。 3、在结构体中定义一个全局变量(V ARIABLES),可以在所有进程中使用。 (错)改正:“变量(V ARIABLES)”改为“信号”。 4、语句 type wr is (wr0,wr1,wr2,wr3,wr4,wr5); 定义了一个状态机变量wr, 可以直接对wr赋值。 (错)改正:语句 type wr is (wr0,wr1,wr2,wr3,wr4,wr5); 定义了一个状态机类型wr,需要定义一个该类型的对象,才可以对该对象赋值。 三、简答(8分,每小题4分) 1、简述如何利用计数器精确控制时序。 ?只要知道晶振频率f,即可知道周期T=1/f; ?使用一个计数器,可以通过计数值n,精确知道当计数值为n时消耗的时间t=nT; ?上例中以n为控制条件,可以控制其它信号在某时刻变高,某时刻变低,从而产生精 确时序; 例如:

2014.9摩尔及米利型状态机的VerilogHDL描述方法

例1:MOORE 型状态机 设某个控制器的状态转换图如下所示: 输入:in 、时钟clk 、和复位信号 输出:out 用Verilog 将NS 、 OL 、 CS module statmach(clk, in, reset, out); input clk, reset; //时钟、复位信号 input in; //输入 output out; reg out; //输出 reg current_state; //现态寄存器 reg next_state; //次态寄存器,NS 与CS 分开描述时必须定义 parameter s0 = 0, s1 = 1; //状态编码 always @( current_state or in ) begin case (current_state) s0: if(in) next _state<=s1; else if (!in) next _state<=s0 s1: if(in) next _state<=s0; else if (!in) next _state<=s1default: next _state<=s0; endcase end always @(posedge clk ) begin if (reset) current_state <= s0; else current_state<= next end always @( current_state ) in=0 状态编码:S0=0,S1=1。 in=0 时钟CS NS OL 复位

begin case (current_state) s0: out <= 0; s1: out <= 1; default: out <= x; endcase end endmodule 将CS 与NS 混合描述,OL 单独描述: module statmach(clk, in, reset, out); input clk, reset; //时钟、复位信号 input in; //输入 output out; //输出 reg out; reg current_state; //现态寄存器 parameter s0 = 0, s1 = 1; //状态编码 always @(posedge clk ) begin if (reset) current_state = s0; else case (current_state) s0: if (in) current_state = s1; else if (!in) current_state = s0; s1: if (in) current_state = s0; else if (!in) current_state = s1; default: current_state = s0; endcase end in=0 OL 时钟CS NS OL MOORE 型状态机结构图 复位

AD7656 verilog 状态机

module adc(data,clk,Busy,cs_n,convsta,convstb,convstc,rd,databuf); input [15:0] data;//???? input clk,Busy; output convsta,convstb,convstc;//?????? output rd,cs_n; output [15:0] databuf;//?? reg rd; reg [15:0] REGL; reg convsta,convstb,convstc; wire cs_n; wire [15:0] databuf; parameter st0=4'b0000, st1=4'b0001, st2=4'b0010, st3=4'b0011, st4=4'b0100, st5=4'b0101; parameter st6=4'b0110, st7=4'b0111, st8=4'b1000, st9=4'b1001, st10=4'b1010, st11=4'b1011; parameter st12=4'b1100, st13=4'b1101; reg [3:0] current_states, next_states; assign cs_n= 0; assign databuf= REGL; always@(posedge clk) begin current_states<= next_states; end always@(*) begin

case(current_states) st0:begin// ??? convsta= 0; convstb= 0; convstc=0; next_states=st1; rd=1'b1; end st1:begin//??AD?? convsta= 1; convstb=1; convstc= 1; next_states= st2; rd= 1; end st2:begin//?????? convsta=0; convstb= 0; convstc=0; rd= 1; if(Busy==0) begin next_states= st3; rd=1; end else next_states= st2; end st3: begin //????????? convsta= 0; convstb= 0; convstc=0; rd=0; REGL = data; next_states= st4; end st4: begin //??RD convsta= 0; convstb= 0; convstc=0;

FPGA_ADC0809_statemechine verilog 代码(状态机)

// FPGA接50MHZ 晶振 `timescale 1ns/1ns module ADC0809_statemechine( sys_clk, sys_rstn, adc_clk, adc_start, adc_eoc, adc_oe, adc_ale, adc_data, adc_led, ); input sys_clk,sys_rstn,adc_eoc; input [0:7]adc_data; output adc_clk,adc_start,adc_ale,adc_oe; output [0:7]adc_led; reg adc_clk, adc_start, adc_ale, adc_oe, lock; reg [0:7]adc_led; reg [0:7]counter_500k; reg [0:7]state; parameter idle =8'b00000000, ale_enable =8'b00000001, start_1 =8'b00000010, start_0 =8'b00000100, eoc_0 =8'b00001000, eoc_1 =8'b00010000, oe_enable =8'b00100000, get_data =8'b01000000; initial begin state=8'b00000000; adc_ale=1'b0; adc_start=1'b0; adc_oe=1'b0; adc_clk=1'b0; adc_led<=8'b11111111; end

always @(posedge sys_clk) begin counter_500k<=counter_500k+1'b1; if (counter_500k>=8'd50) begin counter_500k<=8'd0; adc_clk<=~adc_clk; //500khz(2us), ADC work frquency/clock end end always @(posedge adc_clk) begin case (state) idle: begin adc_ale<=1'b0; adc_start<=1'b0; adc_oe<=1'b0; lock<=1'b0; state<=ale_enable; end ale_enable: begin adc_ale<=1'b1; adc_start<=1'b0; adc_oe<=1'b0; lock<=1'b0; state<=start_1; end start_1: begin adc_ale<=1'b0; adc_start<=1'b1; adc_oe<=1'b0; lock<=1'b0; state<=start_0; end start_0: begin adc_ale<=1'b0;

Verilog可综合有限状态机的4种写法

Verilog可综合有限状态机的4种写法 第一种:自然编码 1.module fsm1( 2.input i_clk, 3.input rst_n, 4.input A, 5.output reg K1, 6.output reg K2, 7.output reg [1:0] state 8.);[/color][/font] 9.[font=Times New Roman][color=#000000]parameter Idle=2'b00, 10. Start=2'b01, 11. Stop=2'b10, 12. Clear=2'b11;[/color][/font] 13.[font=Times New Roman][color=#000000][email=always@(posedge]always@(posedge[/email] i_clk) 14.if(!rst_n) 15.begin 16. state<=Idle; 17. K2<=0; 18. K1<=0; 19.end 20.else 21.case(state) 22. Idle:if(A) 23. begin 24. state<=Start; 25. K1<=0; 26. end

28. begin 29. state<=Idle; 30. K2<=0; 31. K1<=0; 32. end 33. Start:if(!A) 34. state<=Stop; 35. else 36. state<=Start; 37. Stop:if(A) 38. begin 39. state<=Clear; 40. K2<=1; 41. end 42. else 43. begin 44. state<=Stop; 45. K2<=0; 46. K1<=0; 47. end 48. Clear:if(!A) 49. begin 50. state<=Idle; 51. K1<=1; 52. K2<=0; 53. end 54. else 55. begin 56. state<=Clear; 57. K2<=0; 58. K1<=1;

相关文档
相关文档 最新文档