文档库 最新最全的文档下载
当前位置:文档库 › 杭电计组实验5存储器设计实验

杭电计组实验5存储器设计实验

杭电计组实验5存储器设计实验
杭电计组实验5存储器设计实验

杭州电子科技大学计算机学院

实验报告

实验项目:

课程名称:计算机组成原理与系统结构设计

姓名:学号:同组姓名:学号:实验位置(机号):

实验日期:指导教师:

addr = 6'b000001;

dina = 32'b 111111111111101101111;

#100;

clk = 1;

wea = 0;

addr = 6'b000001;

dina = 32'b 111111111111101101111;

#100;

clk = 0;

wea = 1;

addr = 6'b000001;

dina = 32'b 111111111111101101111;

#100;

clk = 1;

wea = 1;

addr = 6'b000001;

dina = 32'b 111111111111101101111;

end

endmodule

RTL图

二、结果

思考题:

(3)设计实现一个ROM,常规存储器是单端口存储器,每次只接收一个地址,访问一个存储单元,从中读取或写入一个字节或字。主存储器是信息交换的中心,一方面CPU频繁地与主存交换信息,另一方面外设也较频繁地与主存交换信息,而单端口存储器每次只能接受一个访存者,或是读或是写,这就影响到存储器的整体工作速度。

双端口存储器具有两个彼此独立的读写口,每个读写口都有一套自己的地址寄存器和译码电路,可以并行地独立工作。两个读写口可以按各自接收的地址同时读出或写入,或一

杭电计组实验报告10

计组实验十 老师:包健 一、源代码测试模块代码: module Top( inputinclk, inputmem_clk, inputrst, outputreg[7:0] LED, input [3:0] SW ); wireclk; MyButtonmb( .clk_100MHz(mem_clk), .BTN(inclk), .BTN_Out(clk) ); wire [31:0] ALU_F; wire [31:0] M_R_Data; wire ZF; wire OF; wire [31:0]PC; My_I_CPUmy_i_cpu( .clk(clk), .mem_clk(mem_clk), .rst(rst), .ALU_F(ALU_F), .M_R_Data(M_R_Data), .ZFF(ZF), .OF(OF), .PC_out(PC) ); always@(*) begin case(SW) 4'd0:LED=ALU_F[7:0]; 4'd1:LED=ALU_F[15:8]; 4'd2:LED=ALU_F[23:16]; 4'd3:LED=ALU_F[31:24]; 4'd4:LED=M_R_Data[7:0];

4'd5:LED=M_R_Data[15:8]; 4'd6:LED=M_R_Data[23:16]; 4'd7:LED=M_R_Data[31:24]; 4'd8:LED={ZF,6'd0,OF}; 4'd12:LED=PC[7:0]; 4'd13:LED=PC[15:8]; 4'd14:LED=PC[23:16]; 4'd15:LED=PC[31:24]; default:LED=8'b0000_0000; endcase end endmodule 顶层模块代码: moduleMy_I_CPU( inputclk, inputmem_clk, inputrst, output [31:0] ALU_F, output [31:0] M_R_Data, output ZFF, output OF, output [31:0]PC_out ); //wire clk_n = ~clk; wire[31:0] codes; wire [31:0]PC_new; reg [31:0]PC; Inst_Fetch1 inst_fetch( .PC(PC), .rst(rst), .clk(clk), .Inst_codes(codes), .PC_new(PC_new) ); wire[5:0] OP; wire[5:0] func;

实验十四 存储器扩展机读写实验

实验十四存储器扩展机读写实验 一、实验目的 (1)通过阅读并测试示例程序,完成程序设计题,熟悉静态RAM的扩展方法。 (2)了解8086/8088与存储器的连接,掌握扩展存储器的读写方法。 二、实验内容 1.实验原理(62256RAM介绍) 62256是32*8的静态存储器,管脚如图所示。其中:A0~A14为地址线,DB0~DB7为数据线,/cs为存储器的片选,/OE为存储器数据输出选通信号,/WE为数据写入存储器信号。62256工作方式如下图。 /CS /WE /OE 方式DB-~DB7 H X X 未选中高阻 L H H 读写禁止高阻 L L H 写IN L H L 读OUT 2.实验内容 设计扩展存储电器的硬件连接图并编制程序,讲字符A~Z循环存入62256扩展RAM 中,让后再检查扩展存储器中的内容。 三、程序设计 编写升序,将4KB扩展存储器交替写入55H和0AAH。 程序如下: RAMADDR EQU 0000H RAMOFF EQU 9000H COUNT EQU 800H CODE SEGMENT ASSUME CS:CODE START: PROC NEAR MOV AX,RAMADDR MOV DS,AX MOV BX,RAMOFF MOV CX,COUNT MOV DL,55h MOV AX ,0AAH REP: MOV [BX],DL INC BX MOV [BX],AX INC BX LOOP REP JMP $ CODE ENDS END START 四、实验结果 通过在软件上调试,运行时能够看到内存地址的改变,证明此扩展的程序成功实现了。 五、实验心得

杭电计组期末习题-包健

微操作控制信号 图1 图2 题1、某8位模型机采用微程序控制器,结构如图1所示。其中MEM为主存,R0~R3是通用寄存器。各部件的控制信号均已标出,控制信号的命名准则是:‘→’符号前的是数据发送方部件,‘→’符号后的是数据接收方部件,并且控制信号中的B表示总线;J1#控制指令译码,其他读写信号具有普通意义。例如:B→DA1表示总线上的数据送入DA1暂存器;ALU→B#表示ALU运算的结果送到总线上(低电平有效)。 (1)图1中有22个微操作控制信号,另外3个信号J1#~J3#是用于微指令转移的判别测试条件。在微指令中,控制字段采用直接控制法,判别测试字段采用译码法编码,下址字段8位,则微指令字长多少位?该模

型机的控存容量是多少?MEM→DA1,微指令必须发送的微操作控制信 号是什么? (2)模型机的某条指令的微程序流程图如图2所示,写出该条指令的功能、寻址方式、指令第二字的含义。 (3)(5分)根据图1所示的数据通路,写出ADD RD,[ADDR]指令的微程序流程图。 指令功能为(RD)+(ADDR)→RD,即寄存器RD的内容加内存单元ADDR的 内容送回RD寄存器。指令格式如下: 题2、设32位计算机主存容量为4GB,存储器按字节编址,Cache容量为256KB,每块32字节,Cache按2路组相联方式组织,则主存地址至少需(1) 位,其中“标记”字段(2) 位,Cache组地址(3) 位,块内地址(4) 位,主存地址656DECH可映射到Cache的第(5) 行。 题3:设X=2.75,Y= -12/32 (1)写出X和Y的规格化浮点数,设浮点数阶码6位(包含一位符号位)用移码表示, 尾数6位(包含一位符号位)用补码表示,阶码在前,尾数在后; (2)用浮点数计算[X+Y]浮,请写出计算过程。

实验一扩展存储器读写实验

实验一:扩展存储器读写实验 一.实验要求 编制简单程序,对实验板上提供的外部存贮器(62256)进行读写操作。 二.实验目的 1.学习片外存储器扩展方法。 2.学习数据存储器不同的读写方法。 三.实验电路及连线 将P1.0接至L1。CS256连GND孔。 四.实验说明 1.单片机系统中,对片外存贮器的读写操作是最基本的操作。用户藉此来熟悉MCS51单片机编程的基本规则、基本指令的使用和使用本仿真实验系统调试程序的方法。 用户编程可以参考示例程序和流程框图。本示例程序中对片外存贮器中一固定地址单元进行读写操作,并比较读写结果是否一致。不一致则说明读写操作不可靠或该存储器单元不可靠,程序转入出错处理代码段(本示例程序通过熄灭一个发光二极管来表示出错)。读写数据的选用,本例采用的是55(0101,0101)与AA(1010,1010)。一般采用这两个数据的读写操作就可查出数据总线的短路、断路等,在实际调试用户电路时非常有效。 用户调试该程序时,可以灵活使用单步、断点和变量观察等方法,来观察程序执行的流程和各中间变量的值。 2.在I状态下执行MEM1程序,对实验机数据进行读写,若L1灯亮说明RAM读

写正常。 3.也可进入LCA51的调试工具菜单中的对话窗口,用监控命令方式读写RAM,在I状态执行SX0000↓ 55,SPACE,屏幕上应显示55,再键入AA,SPACE,屏幕上也应显示AA,以上过程执行效果与编程执行效果完全相同。 注:SX是实验机对外部数据空间读写命令。 4.本例中,62256片选接地时,存储器空间为0000~7FFFH。 五.实验程序框图 实验示例程序流程框图如下: 六.实验源程序: ORG 0000H LJMP START ORG 0040H START:

计组实验报告

计算机组成原理实验报告 实验1:VERILOG 设计基础 专业班级:14级计算机二班 学号:14048001 姓名:杨娜 学号:14048003 姓名:周蓉 实验地点:理工楼901 实验时间:2016年5月14日

实验十VGA显示控制器的设计 一、实验目的 1、学习VERILOG的基本语法和编程规则 2、掌握通用寄存器等常用基本数字模块的VERILOG描述和基本设计方法 3、理解带使能控制和异步清零的8位寄存器的设计原理 4、掌握使用VERILOG设计和验证带使能控制和异步清零的8位寄存器的方法 5、掌握移位寄存器的设计方法 二、实验任务 1、设计一个带使能控制和异步清零的8位寄存器REG8X,实现8位输入的锁存,在时钟的上升沿处得到一个8位的输出和一个8位的反向输出,将结果显示在发光二极管。 模块的端口描述如下: 模块的参考物理结构如下: R7 R6 R i R 0 7 6 i 0 带使能控制和异步清零的8位寄存器 模块的使用注意事项

1.数据源D(7..0)一直加在寄存器的数据输入端; 2.周期性的时钟信号Clock一直加在寄存器的时钟输入端 3.使能信号Enable控制寄存器是否接受数据。当Enable = '0'时,寄存器不 接受数据,保持原来的状态不变;当Enable = '1'时,在时钟信号Clock正 跳变时,寄存器接受并保存当时D(7..0)的数据; 4.本寄存器其它方面的功能与上述的寄存器相同。 完成的参考电路图如下:dout=q 2、设计一个有左、右移位功能的8位寄存器REGSHIFT8,并仿真验证。

三、实验内容 1、通过输入数据先进行计算,并通过实验进行验证REG8X。 (1)、将清零信号Resetn(sw17)设为0,将输入信号D(sw7~sw0)设为10101010,观察输出信号Q(ledr7~ledr0)和Qb(ledg7~ledg0),观察并记录输出。 (2)、将清零信号Resetn(sw17)设为1,在时钟信号处输入一个上升沿(按下key0),观察并记录输出。 (3)、将输入信号D(sw7~sw0)设为01010101,观察并记录输出。 (4)、在时钟信号处输入一个上升沿(按下key0),观察并记录输出。 (5)、自行完善设计表格,观察并记录测试输出。 实验数据表 2、通过输入数据先进行计算,并通过实验进行验证REGSHIFT8。 (1)、测试清零信号Resetn (2)、测试移位功能 (3)、测试寄存功能 (4)、自行设计表格观察并记录测试输出。 实验数据表

杭电计组实验1-全加器设计实验

杭电计组实验1-全加器设计实验 杭州电子科技大学计算机学院实验报告实验项目:实验1-全加器设计实验课程名称:计算机组成原理与系统结构课程设计姓名: 学号: 同组姓名: 学号: 实验位置(机号): 自己的笔记本实验日期: 指导教师: 实验内容(算法、程序、步骤和方法)一、实验目的(1),学习ISE工具软件的使用及仿真方法(2)学习FPGA程序的下载方法(3)熟悉Nexys3实验板(4)掌握运用VerilogHDL进行结构描述与建模的技巧和方法(5)掌握二进制全加器的原理和设计方法二、实验仪器ISE工具软件三、步骤、方法(1)启动XilinxISE 软件,选择File-NewProject,输入工程名,默认选择后,点击Next按钮,确认工程信息后点击Finish按钮,创建一个完整的工程。 (2)在工程管理区的任意位置右击,选择NewSource命令。弹出NewSourceWizard对话框,选择VerilogModule,并输入Verilog文件名shiyan1,点击Next按钮进入下一步,点击Finish完成创建。 (3)编辑程序源代码,然后编译,综合;选择Synthesize--XST项中的CheckSyntax右击选择Run命令,并查看RTL视图;如果编译出错,则需要修改程序代码,直至正确。 (4)在工程管理区将View类型设置成Simulation,在任意位置右击,选择NewSource命令,选择VerilogTestFixture选项。输入文件名shiyan1_test,点击Next,点击Finish,完成。编写激励代码,观察仿真波形,如果验证逻辑有误,则修改代码,重新编译,仿真,直至正确。 (5)由于实验一并未链接实验板,所以后面的链接实验板的步骤此处没有。 操作过程及结果一、操作过程实验过程和描述: moduleshiyan1(A,B,C,F,Ci);inputA,B,C;outputF,Ci;wireA,B,C,F,Ci;wi reS1,S2,S3;xorXU1(F,A,B,C),XU2(S1,A,B);andAU1(S2,A,B),AU2(S3,S1,C);or OU1(Ci,S2,S3);endmodule仿真代码 moduleshiyan1_test;//InputsregA;regB;regC;//OutputswireF;wireCi;/ /InstantiatetheUnitUnderTest(UUT)shiyan1uut(.A(A),.B(B),.C(C),.F(F),. Ci(Ci));initialbegin//InitializeInputsA=0;B=0;C=0;//Wait100nsforgloba lresettofinish#100;//AddstimulushereA=0;B=0;C=0;#100A=0;B=0;C=1;#100A =0;B=1;C=0;#100A=0;B=1;C=1;#100A=1;B=0;C=0;#100A=1;B=0;C=1;#100A=1;B= 1;C=0;#100A=1;B=1;C=1;EndRTL图 二、结果 思考题: ((1)根据查看顶层模块RTL的最外层的输入输出接口,和实验指导书式(14.1)所示电路相比,该电路图的输入输出引脚和这个加法器的引脚图式是相符合的。 ((2))尝试使用数据流描述方式现实现

存储器扩展实验

实验5 存储器扩展实验 一、实验目的 1.掌握PC存储器扩展的方法。 2.熟悉6264芯片的接口方法。 3.掌握8031内部RAM和外部RAM的数据操作 二、实验设备 PC机、星研Star16L仿真器系统+仿真头PODPH51(DIP)、EL-Ⅱ型通用接口板实验电路,PROTEUS仿真软件。 三、实验内容 1)向外部存储器的7000H到8000H区间循环输入00~0FFH数据段。设置断点,打开外部数据存储器观察窗口,设置外部存储器的窗口地址为7000H—7FFFH。全速运行程序,当程序运行到断点处时,观察7000H—7FFFH的内容是否正确。 四、实验原理 实验系统上的两片6264的地址范围分别为:4000H~5FFFH,6000H~7FFFH,既可作为实验程序区,也可作为实验数据区。6264的所有信号均已连好。(3000H~3FFFH也可用) 五、实验方法 1、运用PROTUES软件进行虚拟仿真实验。按照实验要求用PROTUES软件绘制电路,编制程序,并通过调试。 2、运用星研仿真系统进行实际系统仿真实验。将星研仿真器与微机和目标板相互连接构成完整的硬件仿真系统,按照实验要求在通用实验板上进行硬件系统连接,并用星研仿真器进行系统仿真运行调试。 3、实验说明 在采用星研仿真时,若CPU选型为8051则,应将P2、P3口修改为总线模式(默认为IO口模式)。若为8031CPU则无此选项,因此不必修改。 4、星研仿真器设置时,注意,在项目工作环境设置选项中的存储器借出方式中,不能借用仿真器的外部数据空间(直接选择默认方式即可),否则无法正确测试实验箱上的存储器。 5、利用星研仿真器,在选择用户板外部RAM方式下,可以在存储器窗口中,通过直接对外部存储器单元的内容进行修改来确定该单元是否可用,可以修改的单元,表明用户可用,如果无法修改(无论键盘输入任何数字与字符,始终显示FF),则表明该存储单元不可用。 六、实验电路 1、PROTEUS 仿真电路

存储器和IO扩展实验,计算机组成原理

科技学院 课程设计实验报告 ( 2014--2015年度第一学期) 名称:计算机组成原理综合实验题目:存储器和I/O扩展实验 院系:信息工程系 班级: 学号: 学生姓名: 指导教师:李梅王晓霞 设计周数:一周 成绩: 日期:2015 年1 月

一、目的与要求 1. 内存储器部件实验 (1)熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处;学习用编程器设备向EEPROM芯片内写入一批数据的过程和方法。 (2)理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案; (3)了解静态存储器系统使用的各种控制信号之间正常的时序关系; (4)了解如何通过读、写存储器的指令实现对58C65 ROM芯片的读、写操作; (5)加深理解存储器部件在计算机整机系统中的作用。 2. I/O口扩展实验 学习串行口的正确设置和使用。 二、实验正文 1.主存储器实验内容 1.1实验的教学计算机的存储器部件设计(说明只读存储器的容量、随机读写器的容量,各选用了什么型号及规格的芯片、以及地址空间的分布) 在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括唯读存储区(ROM,存放监控程序等) 和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB 的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片 实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分 成3组,其地址空间分配关系是:0-1777h用于第一组ROM,固化监控程序, 2000-2777h用于RAM,保存用户程序和用户数据,其高端的一些单元作为监 控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩 展内存容量(存储器的字、位扩展)的教学实验。 1.2扩展8K字的存储空间,需要多少片58C65芯片,58C65芯片进行读写时的特殊要求 要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8192个单元、每个单元由8个二进制位组成)存储器芯片实现。对 58C65 ROM芯片执行读操作时,需要保证正确的片选信号(/CE)为低点平, 使能控制信号(/OE)为低电平,读写命令信号(/WE)为高电平,读58C65 ROM 芯片的读出时间与读RAM芯片的读出时间相同,无特殊要求;对58C65 ROM 芯片执行写操作时,需要保证正确的片选信号(/CE)为低电平,使能控制信 号(/OE)为高电平,读写命令信号(/WE)为低电平,写58C65 ROM芯片的 维持时间要比写RAM芯片的操作时间长得多。为了防止对58C65 ROM芯片执 行误写操作,可通过把芯片的使能控制引脚(/OE)接地来保证,或者确保读 写命令信号(/WE)恒为高电平。 1.3在实验中思考为何能用E命令直接写58C65芯片的存储单元,而A命令则有时不正确;

计算机组成原理实验

实验一 实验目的:通过了解高级语言源程序和目标机器代码的不同表示及其相互转换,深刻理解高级语言和机器语言之间的关系,以及机器语言和不同体系结构之间的关系。 实验要求:对教材1.3.5 节给出的hello.c 源程序进行编译、链接,最终生成可执行目标代码。 实验报告: 1. 说明你做实验的过程(重要步骤用屏幕截图表示)。 第一步:下载FlexHEX软件。 第二步:编写hello.c源代码。#include Int main() { Printf(“Hello!\n”); Return 0; } 第三步:用FlexHEX打开hello world源程序 第四步:用FlexHEX打开hello world可执行文件。 第五步:比较源程序文件的内容和可执行文件的内容 2. 给出源程序(文本文件)的内容(用十六进制形式表示)。 3. 给出可执行目标文件(二进制文件)的内容(用十六进制形式表示)。

4.(1)分析同一个源程序在不同机器上生成的可执行目标代码是否相同。 答:不相同。因为对于不同的机器其硬件组成不同,例如:CPU。所以同一个源程在不同机器上生成的可执行目标代码是不同的。 (2)你能在可执行目标文件中找出函数printf ()对应的机器代码段吗?能的话,请标示来。 答:不能。因为源程序中的printf函数在可执行文件中已转换为机器语言。被翻译的机器语言中有printf函数,但是不知道是从哪一段开始翻译的。 (3)为什么源程序文件的内容和可执行目标文件的内容完全不同? 答:因为源程序文件时可以直接编写的。而可执行文件是被机器语言翻译过后的执 行内容。所以内容不同。

计算机组成原理实验报告 算术逻辑运算单元实验

西华大学数学与计算机学院实验报告 课程名称:计算机组成原理年级:2011级实验成绩: 指导教师:祝昌宇姓名:蒋俊 实验名称:算术逻辑运算单元实验学号:312011*********实验日期:2013-12-15 一、目的 1. 掌握简单运算器的数据传输方式 2. 掌握74LS181的功能和应用 二、实验原理 (1)ALU单元实验构成 1、结构试验箱上的算术逻辑运算单元上的运算器是由运算器由2片74LS181构成8字长的ALU 单元。 2、2片74LS373作为2个数据锁存器(DR1、DR2),8芯插座ALU-OUT作为数据输入端,可通过短8芯扁平电缆,把数据输入端连接到数据总线上。 3、运算器的数据输出由一片74LS244(输出缓冲器)来控制,8芯插座ALU-OUT作为数据输出端,可通过短8芯扁平电缆把数据输出端连接到数据总线上。 (2)ALU单元的工作原理 数据输入锁存器DR1的EDR1为低电平,并且D1CK有上升沿时,把来自数据总线上的数据打入锁存器DR1。同样,使EDR2为低电平,并且D2CK有上升沿时,把来自数据总线上的数据打入锁存器DR2。 算术逻辑运算单元的核心是由2片74LS181构成,它可以进行2个8位二进制数的算术逻辑运算,74LS181的各种工作方式可通过设置其控制信号来实现(S0、S1、S2、S3、M、CN)。当实验者正确设置了74LS181的各个控制信号,74LS181会运算数据锁存器DR1、DR2内的数据。由于DR1、DR2已经把数据锁存,只要74LS181的控制信号不变,那么74LS181的输出数据也不会发生改变。 输出缓冲器采用74LS244,当控制信号ALU-O为低电平时,74LS244导通,把74LS181的运算结果输出到数据总线;ALU-O为高电平时,74LS244的输出为高阻。

杭电计组实验3-多功能ALU设计实验

杭电计组实验3-多功能ALU设计实验杭州电子科技大学计算机学院 实验报告 实验项目: 课程名称:计算机组成原理与系统结构课程设计 姓名: 学号: 同组姓名: 学号: 实验位置(机号): 实验日期: 指导教师: 一、实验目的 (1)学习多功能ALU的工作原理,掌握运算器的设计方法。 (2)掌握运用Verilog HDL进行数据流描述与建模的技巧和方法,掌握运算器的设计方 法。 二、实验仪器 实验 ISE工具软件 内容三、步骤、方法 (算(1)启动Xilinx ISE软件,选择File->New Project,输入工程名 shiyan2,默认选择后,点法、击Next按钮,确认工程信息后点击Finish按钮,创建一个完整的工程。程(2)在工程管理区的任意位置右击,选择New Source命令。弹出New Source Wizard对序、话框,选择Verilog Module,并输入Verilog 文件名shiyan3,点击Next按钮进入下一步,点步骤击Finish完成创建。 和方(3)编辑程序源代码,然后编译,综合;选择Synthesize--XST项中的Check Syntax右击法) 选择Run命令,并查看RTL视图;如果编译出错,则需要修改程序代码,直至正确。

(4)在工程管理区将View类型设置成Simulation,在任意位置右击,选择New Source命 令,选择Verilog Test Fixture选项,输入实验名shiyan3_test。点击Next,点击Finish,完成。 编写激励代码,观察仿真波形,如果验证逻辑有误,则修改代码,重新编译,仿真,直至 正确。 (5)由于实验三并未链接实验板,所以后面的链接实验板的步骤此处没有。 一,操作过程 实验过程和描述: module shiyan3(ALU_OP,AB_SW,OF,ZF,F); reg [31:0]A,B; input [2:0]ALU_OP; input [2:0]AB_SW; 操作 wire OF; 过程 reg ZF; 及结reg [31:0]F; 果 output OF; output ZF; output F; reg C32; always@(*) begin case(AB_SW)

存储器扩展实验

存储器扩展实验 1.实验目的 1. 了解存储器的扩展方法及其对存储器的读/写。 2. 掌握CPU对8/16位存储器的访问方法。 2.实验设备 PC机一台,TD-PITC实验箱。 3.实验内容 编写程序,往扩展存储器中传送有规律的数据(如5555H、AAAAH或顺序递增的数据等,以便于观察写入是否正确),然后通过Tdpit软件中的“扩展存储区数据显示窗口”查看该存储空间,检测写入数据是否正确。 1)循环传送16位规则字到扩展存储器(共32768个字); 2)循环传送16位非规则字到扩展存储器(共32768个字); 3)循环传送字节数据到扩展存储器(共32768个字节)。 关于规则字和非规则字的含义见以下16位存储器操作的说明。 4.实验原理 1)SRAM 62256介绍 SRAM(静态RAM)的基本存储元是由MOS管组成的触发器电路构成,每个触发器可以存放1位信息。只要不掉电,所储存的信息就不会丢失。目前较常用的SRAM有6116(2K×8),6264(8K×8)和62256(32K×8)。TD-PITC实验箱内使用了2片62256构成32K×16的扩展存储器模块。62256的引脚如图1所示。 图1 62256引脚图 2)16位总线的存储器接口 TD-PITC实验箱中的16位系统总线提供了XA1~XA20、#BHE、#BLE、MY0等信号用于扩展存储器的读写操作。MY0是系统为扩展存储器提供的片选信号,其地址空间为D8000H~DFFFFH,XA1~XA20提供了16位(2字节)存储单元的地址,#BHE和#BLE用来确定访问16位存储单元中的低8位还是高8位,#BLE有效时允许访问低8位(D7-D0),#BHE有效时允许访问高8位(D15-D8)。其对应关系如表1所示。

单片机实验 数据存储器和程序存储器扩展

实验四数据存储器和程序存储器扩展 一、实验目的 1、学习片外存储器扩展方法 2、学习数据存储器不同的读写方法 3、学习片外程序存储器的读方法 二、实验说明 本次实验使用1片6264SRAM,作为片外扩展的数据存储器,对其进行读写。先向6264中写入整数1~200,然后将其逆向复制到地址0x0100处。注意单片机ALE引脚与74LS373的LE引脚的连接,直至锁存由单片机的ALE控制,另外还要注意单片机读/写控制引脚、与6264的连接。RD WR 三、实验线路图 四、实验步骤 1、先建立文件夹“ex4”,然后建立“ex4”工程项目,最后建立源程序文件“ex4.c”,输入如下源程序; /*用6264扩展内存*/ //说明:先向6264中写入整数1~200,然后将其逆序复制到0x0100处 #include #include #define uchar unsigned char #define uint unsigned int sbit LED=P1^0; /*主程序*/ void main() { uint i; LED=1; //向6264的0x0000地址处写入1~200

for(i=0;i<200;i++) { XBYTE[i]=i+1; } //将6264中的1~200逆向拷贝到0x0100地址开始处 for(i=0;i<200;i++) { XBYTE[i+0x0100]=XBYTE[199-i]; } //扩展内存数据处理完成后LED点亮 LED=0; while(1); } 2、用Proteus软件仿真 经过Keil软件编译通过后,可利用Proteus软件仿真。在Proteus ISIS编辑环境中绘制仿真电路图。打开配套实验3仿真原理图文件“ex4.DSN”,将编译好的“ex4.hex”文件载入 A T89C51,启动仿真。 五、思考题 实验仅使用了一片6264SRAM对数据内存进行扩展,要求在电路中使用EPROM27512(64KB)对程序内存进行扩展,将HEX文件移到27512中执行,注意将EA接地。 /*用6264扩展内存*/ //说明:先向6264中写入整数1~200,然后将其逆序复制到0x0100处 #include #include #define uchar unsigned char #define uint unsigned int sbit LED=P1^0; /*主程序*/ void main() { uint i; LED=1; //向6264的0x0000地址处写入1~200 for(i=0;i<200;i++) { XBYTE[i]=i+1; } //将6264中的1~200逆向拷贝到0x0100地址开始处 for(i=0;i<200;i++) { XBYTE[i+0x0100]=XBYTE[199-i]; } //扩展内存数据处理完成后LED点亮 LED=0; while(1); }

[笔记]杭电计组实验3-多功能ALU设计实验

[笔记]杭电计组实验3-多功能ALU设计实验杭州电子科技大学计算机学院 实验报告 实验项目: 课程名称:计算机组成原理与系统结构课程设计 姓名: 学号: 同组姓名: 学号: 实验位置(机号): 实验日期: 指导教师: 一、实验目的 (1)学习多功能ALU的工作原理,掌握运算器的设计方法。 (2)掌握运用Verilog HDL进行数据流描述与建模的技巧和方法,掌握运算器的设计方 法。 二、实验仪器 实验 ISE工具软件 内容三、步骤、方法 (算(1)启动Xilinx ISE软件,选择File->New Project,输入工程名 shiyan2,默认选择后,点法、击Next按钮,确认工程信息后点击Finish按钮,创建一个完整的工程。程(2)在工程管理区的任意位置右击,选择New Source命令。弹出New Source Wizard对序、话框,选择Verilog Module,并输入Verilog 文件名shiyan3,点击Next按钮进入下一步,点步骤击Finish完成创建。

和方(3)编辑程序源代码,然后编译,综合;选择Synthesize--XST项中的Check Syntax右击法) 选择Run命令,并查看RTL视图;如果编译出错,则需要修改程序代码,直至正确。 (4)在工程管理区将View类型设置成Simulation,在任意位置右击,选择New Source命 令,选择Verilog Test Fixture选项,输入实验名shiyan3_test。点击Next,点击Finish,完成。 编写激励代码,观察仿真波形,如果验证逻辑有误,则修改代码,重新编译,仿真,直至 正确。 (5)由于实验三并未链接实验板,所以后面的链接实验板的步骤此处没有。 一,操作过程 实验过程和描述: module shiyan3(ALU_OP,AB_SW,OF,ZF,F); reg [31:0]A,B; input [2:0]ALU_OP; input [2:0]AB_SW; 操作 wire OF; 过程 reg ZF; 及结reg [31:0]F; 果output OF; output ZF; output F; reg C32; always@(*) begin case(AB_SW)

杭电计组实验5-存储器设计实验

杭电计组实验5-存储器设计实验

实验报告 2018 年 5 月 5 日成绩: 姓名阳光男学号16041321 班级16052317 专业计算机科学与技术课程名称《计算机组成原理与系统结构试验》 任课老 师张翔老师 指导老 师 张翔老师机位号默认 实验序 号5 实验名 称 《实验五存储器设计实验》 实验时 间2018/5/12 实验地 点 1教211 实验设 备号 个人电脑、 Nexys3开发板 一、实验程序源代码

存储器顶层电路代码: module top_RAM_B(Mem_Addr,C,Mem_Write,Clk,LED); input [7:2]Mem_Addr;//开关的3、4、5、6、7、8位 input Mem_Write,Clk;//clk为按键C9,读写使能信号为按键C4,0为读,1为写input [1:0]C;//选择写入数据+读操作时选择显示字节,为开关1、2位 output reg [7:0]LED; wire [31:0]M_R_Data;//存在存储器里的32位读出数据 reg [31:0]M_W_Data;//寄存在暂存器的32位写入数据 RAM_B test_ram ( .clka(Clk), //输入时钟信号 .wea(Mem_Write), //输入读写信号 .addra(Mem_Addr[7:2]), //输入5位地址信号 .dina(M_W_Data), //写入32位数据 .douta(M_R_Data) //读出32位数据 ); always@(*) begin LED=0;//初始化 M_W_Data=0;//初始化 if(!Mem_Write)//读操作 .clka(clka), .wea(wea), .addra(addra), .dina(dina), .douta(douta) ); initial begin // Initialize Inputs clka = 0; wea = 0; addra = 0; dina = 0;

存储器实验

南京晓庄学院 信息工程学院 计算机组成原理课程 实 验 报 告 实验名称:存储器实验 年级专业班级:14级计算机科学与技术专业14计算机转本1 班级学号:14131504 姓名:康志勇 时间:2016 年 11月26 日 一、实验目的、要求:

EXD0EXD1EXD2EXD3EXD4EXD5EXD6EXD7 A010A19A28A37A46A55A64A73A825A924A1021A1123A122CS 1 20 CS 226WE 27OE 22D0 11D112D213D315D416D517D618D7 19 U52 6264 G ND V CC AD0AD1AD2AD3AD4AD5AD6AD7 CE` P 1.2P 1.1 图3-5 D13 Q1 2 D24Q2 5 D37Q3 6 D48Q4 9 D513Q5 12 D614Q6 15 D717Q7 16 D818 Q819 CLK 11CLR 1 U3774LS 273 V CC A02A13A24A35A46A57A68A79 B0 18 B1 17 B2 16 B3 15 B4 14 B5 13 B6 12 B7 11 E 19 DIR 1 U5174LS 245 S WB`V CC G ND KD6KD5KD4KD3KD0 KD2KD7KD1输入数据 L Z D 0-L Z D 7 UN2A 74LS 08 LDAR T 32 13 L D 0-L D 7L A D 0-L A D 7 45 6T 3WE UN2B 74001、掌握静态随机存取存储器RAM 工作特性及数据的读写方法。 二、实验仪器设备、器件及环境: 仪器设备名称 规格型号 编号 备注 模型机运算器 DVCC-C8JH 20112034 三、实验方法、原理: 图1.1.1 主存储器单元电路主要用于存放实验机的机器指令,如图1.1.1所示,它的数据总线挂在 外部数据总线EXD0~EXD7上;它的地址总线由地址寄存器单元电路中的地址寄存器74LS273(U37)给出,地址值由8个LED 灯LAD0~LAD7显示,高电平亮,低电平灭;在手动方式下,输入数据由8位数据开关KD0~KD7提供,并经一三态门74LS245(U51)连至外部数据总线EXD0~EXD7,实验时将外部数据总线EXD0~EXD7用8芯排线连到内

存储器读写实验

实验一存储器读写实验 一、实验目的 1. 熟悉静态RAM 的使用方法,掌握8088 微机系统扩展RAM 的方法; 2. 掌握静态RAM 读写数据编程方法。 二、实验内容 对指定地址区间的RAM(4000H~43FFH)先进行写数据55AAH,然后将其内容读出再写到5000H~53FFH 中。 三、实验接线图(系统中已连接好) 四、实验步骤 1. 在PC 机和实验系统联机状态下,编辑源程序。 2. 从存储器窗口检查和记录4000H~43FFH 中的内容和5000~53FFH 中的内容。 3. 对源程序进行编译和装载,生成可执行文件。 4. 对可执行文件进行调试,调试方法有:单步,宏单步,自动单步,自动宏单步,注意这些方法之间的区别。 5. 连续运行实验程序。 6. 从存储器窗口检查和记录4000H~43FFH 中的内容和5000~53FFH 中的内容,比较程序运行前、后存储器内容的变化情况。 五、实验程序清单 CODE SEGMENT ;RAM.ASM ASSUME CS:CODE PA EQU 0FF20H ;字位口 PB EQU 0FF21H ;字形口 PC EQU 0FF22H ;键入口 ORG 1850h

START: JMP START0 BUF DB ?,?,?,?,?,? data1: db0c0h,0f9h,0a4h,0b0h,99h,92h,82h,0f8h,80h,90h,88h,83h,0 c6h,0a1h db 86h,8eh,0ffh,0ch,89h,0deh,0c7h,8ch,0f3h,0bfh,8FH START0: MOV AX,0H MOV DS,AX ;写数据段地址 MOV BX,4000H ;内存首址 MOV AX,55AAH ;要写入的字 MOV CX,0200H ;数据长度 RAMW1: MOV DS:[BX],AX ;写数据 ADD BX,0002H ;下一个单元 LOOP RAMW1 ;循环写 MOV AX,4000H ;首址 MOV SI,AX ;SI置源首址 MOV AX,5000H MOV DI,AX ;DI置目首址 MOV CX,0400H ;数据长度 CLD ;增址 REP MOVSB ;串传送 call buf1 ;写”62256-” mov cx,0ffh con1: push cx call disp ;显示 pop cx loop con1 call buf2 ;写”--good” con2: call disp ;显示 jmp con2 DISP: MOV AL,0FFH ;显示子程序 ,5ms MOV DX,PA OUT DX,AL MOV CL,0DFH ;20H ;显示子程序 ,5ms MOV BX,OFFSET BUF DIS1: MOV AL,[BX] MOV AH,00H PUSH BX MOV BX,OFFSET DATA1 ADD BX,AX MOV AL,[BX] POP BX MOV DX,PB OUT DX,AL

计组实验报告2016

电 子 科 技 大 学 实验报告

(一) 一实验名称:8位算术逻辑运算实验 二实验学时:4学时 三实验内容、目的和实验原理: 实验目的: 1. 掌握算术逻辑运算器单元ALU(74LS181)的工作原理。 2. 掌握模型机运算器的数据传送通路组成原理。 3. 验证74LS181的组合功能。 4. 按给定数据,完成实验指导书中的算术/逻辑运算。 实验原理: 1. 运算器由两片74LS181以并/串形式构成8位字长的ALU。 2. 运算器的输出经过一个三态门(74LS245)和数据总线相连。 3. 运算器的两个数据输入端分别由两个锁存器(74LS273)锁存。 4. 锁存器的输入连至数据总线,数据开关(INPUT DEVICE)用来给出参与运算的数据,并经过一三态门(74LS245)和数据总线相连。 5. 数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内容。 四实验器材(设备、元器件) 1. DVCC实验机一台 五实验步骤: 连接线路,仔细检查核对后接通电源。 用二进制数据开关KD0-KD7向DR1和DR2寄存器置入8位运算数据。 检验DR1和DR2置入的数据是否正确。 验证74LS181的算术和逻辑运算功能。 填写实验报告表3-1中的空白数据。

六实验数据及结果分析(包括各种截图:实验过程截图、界面截图、操作截图、运算结果截图): 七实验结论、心得体会和改进建议:

实验结论: 1. S3、S2、S1、S0、M、Cn、LDDR1、LDDR2、ALU-B、SW-B均为电平信号,与"SWITCH UNIT"中的二进制开关对应相接,用于模拟产生电平控制信号。开关状态打上去是高电平,打下来是低电平。 2. 数据开关输入数据时,要打开SW-B,关闭ALU-B 心得体会: 1. 通过这次实验我掌握了算术逻辑运算器单元ALU(74LS181)工作原理。 2. 通过这次实验我掌握了模型机运算器的数据传送通路组成原理。 3. 通过这次实验我验证了74LS181的组合功能。

存储器实验报告

存储器扩展实验报告 班级姓名学号日期 一、相关知识: 1.教学机配置了6个存储器芯片插座,其中4个28芯插座可插只读存储器,2个24 芯插座可插静态随机存储器6116。 2.在教学机中,已经放置了2片8K×8位的ROM和2片2K×8位的RAM,基本存 储器(指的是允许监控程序所必需的存储器)同时使用这两组。另设置了两个28芯插座用于进行存储器容量的扩展。 3.芯片ROMH、ROML用来存放监控程序,芯RAMH、RAML用来存放用户程序和 数据以及监控程序临时数据和堆栈。 4.内存地址译码器在教学机中由1片DC5 74LS138实现,对A15~A13产生8个译码 信号,分别对应内存地址从0000H~1FFFH到E000H~FFFFH。其中0000H~1FFFH 的译码信号作为ROMH的片选信号,地址为2000H~3FFFH的译码信号作为RAM 的片选信号,其它6个译码信号通过圆孔针引出。 5.6116只有2K空间,但是在设计时为其分配的内存空间为8K,即范围在2000H~ 27FFH、2800H~2FFFH、3000H~3FFFH的内存地址都是对6116同一存储单元的访问。 6.28C64芯片组地址空间为:0000H~1FFFH;6116芯片组地址空间为:2000H~3FFFH 58C65芯片组地址空间为:4000H-5FFFH;或者可以连接为5000H~6FFFH; 7000H~8FFFH;9000H~AFFFH;B000H~CFFFH;D000H~EFFFH 012 A 815 二、实验目的: ?通过看懂教学计算机中已经使用的几个存储器芯片的逻辑连接关系和用于完成存储器容量扩展的几个存储器芯片的布线安排,在教学计算机上设计、实现并调试出存储器容

相关文档
相关文档 最新文档