文档库 最新最全的文档下载
当前位置:文档库 › 简易波形发生器设计报告

简易波形发生器设计报告

简易波形发生器设计报告
简易波形发生器设计报告

电子信息工程学院

硬件课程设计实验室课程设计报告题目:波形发生器设计

年级:13级

专业:电子信息工程学院学号:201321111126

学生姓名:覃凤素

指导教师:罗伟华

2015年11月1日

波形发生器设计

波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。

波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。

一、设计要求:

(1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调;

(3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录;

(6) 给出分析过程、电路图和记录的波形。

扩展部分:

(1)产生一组锯齿波,频率范围为10Hz~100Hz ,

V V

8p

-p =;

(2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。

二、技术指标

(1) 频率范围:100Hz~1kHz,1kHz~10kHz ;

(2) 输出电压:方波V V

24p

-p ≤,三角波V V

6p

-p =,正弦波V V

1p

-p ≥;

(3) 波形特性:方波s t

μ30r

< (1kHz ,最大输出时),三角波%2V

,正弦波y~<2%。

三、选材:

元器件:ua741 2个,3DG130 4个,电阻,电容,二极管

仪器仪表:

直流稳压电源,电烙铁,万用表和双踪示波器

四、方案论证

方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

方案二:用ICL8038函数发生器可以同时产生方波、三角波和正弦波。当调节外部电路参数时,还可以获得占空比可调的矩形波和锯齿波。

方案三:用滞回比较器的开关作用和具有延时作用的RC 反馈网络构成多谐振荡器,用积分电路将方波转换成三角波,用分立元件三极管、电容、电位器和电阻搭成差分放大器,三角波经过差分放大器可转换成正弦波。

论证:方案一的特点是采用RC 串并联网络作为选频和反馈网络,其震荡频率为

RC

π21f

=

改变RC 的值,可以得到不同频率的正弦波信号输出。为了让电压稳定,需采用稳幅措施。它的缺点是结构复杂且不好调试,不能很快地得到电路波形。方案二可以同时产生方波、三角波和正弦波,它的信号发生器电路简单、调整方便。方案三介于方案一和方案二之间,它的设计电路比方案一简单但比方案二复杂,电路引起的失真也介于两者之间。虽然比较之下方案二最是简单和易于实现所要的波形,但考虑到此次课程设计的意义在于使我们能更好地将所学实践起来,我们所采取的电路是方案三,既对同学的能力能有所体现,电路实验本身也是有些难度的。

以下是方案三函数发生器的组成框图:

1.方波发生电路

因为方波电压只有两种状态,不是高电平就是低电平。所以电压比较器是它的重要组成部分。它由反向输入的滞回比较器和RC 电路组成。RC 回路既作为延迟环节,又作为反馈网络,通过RC 充、放电实现使输出状态自动地相互转换。如图所示的方波发生电路,以Ua741构成滞回比较器,有

U

R

R

R U O T 1

2

1

1

?+

±

V

P

>0时,A1输出为正;当

V

P

<0时,A1输出为负。

2.方波—三角波发生电路:

三角波电路波形可以通过积分电路实现,把方波电压作为积分电路的输入,在积分运算电路的输出就得到了三角波。在方波-三角波发生电路中,以A2(ua741)作为反向积分器,当A1输出的V o1为正时,积分运算电路的输出电压Vo2将线性下降,当A1输出的V o1为负时,Vo2将线性上升.利用叠加定理可得:

V

R

R

R V

R

R

R V

O P

2

2

1

2

01

2

1

1

+

+

+

=

U

R

R

R U m

O P T 21

3

2

+

±

=± R

R C R R

R P P T 1

31

24

2

)(4++

=

积分器的输出为

dt )(11

2

2

42

?+

-=

U C RP R U

O O

V U CC O +=1时,t C RP R V U CC

O 2

242

)()

(++-=

V U CC O -=1时,t C

RP R V U CC

O 2

242

)()

(+--=

3.三角波—正弦波发生电路

由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。

4.总的电路图

五、参数计算

方波-三角波的频率:C RR R R RP R f 2

2

4

2

1

2

)(4++=

三角波的幅度:V RP

R R U CC

m o 1

32

2+= 方波—三角波的频率:C RP R R RP R 2

2421

3)(f 4++=

2121

32==+v

V RP R R cc

m o 取

R

2

=10K Ω,

R

3

=20K Ω,

RP

1

=47K Ω。平衡电阻

R

1

≈ 10K Ω 。

当100Hz<=f<=1kHz 时,取C

1

=0.1uF ,

R

4

=5.1K Ω,

RP

2

=100K Ω。当1kHz<=f<=10kHz

时,

C

2

=0.01uF 。

三角波—正弦波的参数选择原则是:隔直电容C

3

C

4

C

5

要取得较大,因为输出频率很低,取为470uF ,滤波电容

C

6

的取值为1uF 。

R

E 2

=100Ω与

RP

4

=100Ω并联,以减小差分放大

器的线性区。

六、安装调试

1.由于比较器A1与A2组成正反馈闭环电路,同时输出方波与三角波,故这两个单元电路可以同时安装。

2.在面包板上和最后焊在电路板上时注意芯片以及三极管的各引脚的接线;

3.按图接电源线,注意直流源的正负极和接地端;

4.电位器

RP

1

可调整方波—三角波的的输出幅度,即峰峰值。在用电位器

RP

2

调整方波—

三角波的输出频率时,一般不会影响输出波形的幅度;

5.观察示波器,各指标达到要求后进行下个部分的安装;

6.在三角波—正弦波发生电路部分,给定输入的三角波横为6V ,在其它条件不变的情况下,发现当电容C

6

用104时,输出的正弦波有明显的失真,于是我们将电容

C

6

改用105,再调整电

位器

RP

3

RP

41

,使得正弦波的失真最小,波形呈现比较好的状态。

实验输出的波形如下:

(1)100Hz到1KHz

方波

V p-p=5.00V*4.3=21.5V

f=184.95Hz

T=2.50ms*2.1=5.5ms

三角波

V p-p=1.00V*6.0=6.00V

f=184.95Hz

T=2.5ms*2.1=5.5ms

正弦波

V p-p=0.2V*6.4=1.28V

f=153.85Hz

T=2.5*2.6=6.5ms

(2)1KHz到10KHz

方波

V p-p=5.00V*4.5=22.5V

f=1.519KHz

T=500us*1.4=700us

三角波

V p-p=2.00V*3=6.00V

f=1.519Hz

T=500us*1.4=700us

正弦波

V p-p=200mV*2.6=0.512V

f=1.519KHz

T=500us*2.5=1250us

扩展部分:

锯齿波

V p-p=2.00V*3.0=6.0V

f=23.1318Hz

T=25.0ms*1.6=40ms

矩形波

V p-p=5.00V*4.4=22.0V

f=23.1318Hz

T=25.0ms*1.7=42.5ms

七、小结

本次课程设计在老师的带领下使我学会了好多知识,也提高了我的动手能力。在初次看到任务书的时候我头很大,因为我不知从何下手,只能硬着头皮来。好在有老师和同学们的热心指导,我把模电书本拿来重新翻看,复习其中的知识点,对模电的认识有了新的认识。

在这次课程设计中,我主要的工作是搭面包板和对电路的调试。搭面包板的时候因为对ua741的引脚功能不了解,不知道要怎么接电阻,就请教了同学,很快就弄清楚了。搭好的时候波形却没有出来,经过反复查看也看出哪里有问题,只好再搭。后来才知道原来是电源没接好。经过多次搭建以及和伙伴的调试,波形出来了,要求也达到了,就是正弦波有些微的失真。于是我们进入下一个环节——焊电路板。电路板是小伙伴负责焊的,我在旁边看她有没有哪里没焊好。对焊电路,我认为一定要记得哪个器件对应电路图上的哪个器件以及电路板上该接在一起的不该接在一起的要清清楚楚才行,否则焊好的时候会搞不清楚自己该从哪里下手调整。我们先把方波—三角波部分焊出来了。焊好以后就接电源,输出波形,通过调整电位器,以及检查电路更换电阻,最终波形都达到了要求。然后就是焊最后一部分的电路。我们焊好以后接通电源,把三角波调到

峰峰值为6V,当C1用104的时候(100Hz~1KHz)波形的失真能调到很小,但C1用103的时候

(1KHz~10KHz)就不行了,于是我们经过分析,把C6换成了105,发现正弦波的失真情况好多了,而电容C1改用104的时候正弦波的失真也很小。真是太好了。做完这些,我们开始测静态工

作点。最后,还有时间,经过查阅资料,我在电位器RP2的两边并了一个二极管,通过调整电位器把矩形波和锯齿波做了出来。但是锯齿波的峰峰值怎么都调不到8V。要想把锯齿波的峰峰值调到8V,就要重新计算各个电阻的取值以及电位器的取用。我们最终没能达到这个要求。

从课本的理论知识到亲身动手的过程一开始很难,但后来就感到慢慢的有趣了。我懂得了理论知识的重要性,在实际操作中也学到了要自己把问题解决,实在自己搞不定就多问问老师和同学。感谢老师和同学们的帮助。

参考文献

[1]童诗白《模拟电子技术基础》(第四版)2006 高等教育出版社

[2] 于卫《模拟电子技术实验及综合实训教程》2008 华中科技大学出版社

[3] 康华光《电子技术基础模拟部分》(第五版)[M]. 北京:高等教育出版社,2011

评分等级:评阅教师:年月日

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

课程设计——波形发生器要点

1.概述 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。本课程采用采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。

2.设计方案 采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。文氏桥振荡器产生正弦波输出,其特点是采用RC串并联网络作为选频和反馈网络,其振荡频率f=1/2πRC.改变RC的值,可得到不同的频率正弦波信号输出。用集成运放构成电压比较器,将正弦波变换成方

3. 设计原理 3.1正弦波产生电路 正弦波由RC 桥式振荡电路(如图3-1所示),即文氏桥振荡电路产生。文氏桥振荡器具有电路简单、易起振、频率可调等特点而大量应用于低频振荡电路。正弦波振荡电路由一个放大器和一个带有选频功能的正反馈网络组成。其振荡平衡的条件是AF =1以及ψa+ψf=2n π。其中A 为放大电路的放大倍数,F 为反馈系数。振荡开始时,信号非常弱,为了使振荡建立起来,应该使AF 略大于1。 放大电路应具有尽可能大的输入电阻和尽可能小的输出电阻以减少放大电路对选频特性的影响,使振荡频率几乎仅决定于选频网络,因此通常选用引入电压串联负反馈的放大电路。正反馈网络的反馈电压U f 是同相比例运算电路的输入电压,因而要把同相比例运算电路作为整体看成电路放大电路,它的比例系数是电压放大倍数,根据起振条件和幅值平衡条件有 31 1≥+ =R Rf Av (Rf=R2+R1//D1//D2) 且振荡产生正弦波频率 Rc f π210= 图中D1、D2的作用是,当Vo1幅值很小时,二极管D1、D2接近开路,近似有Rf =9.1K +2.7K =11.8K ,,Av=1+Rf/R1=3.3>=3,有利于起振;反之当Vo 的幅值较大时,D1或D2导通,Rf 减小,Av 随之下降,Vo1幅值趋于稳定。

基于51单片机的波形发生器的设计讲解

目录 1 引言 (1) 1.1 题目要求及分析 (1) 1.1.1 示意图 (1) 1.2 设计要求 (1) 2 波形发生器系统设计方案 (2) 2.1 方案的设计思路 (2) 2.2 设计框图及系统介绍 (2) 2.3 选择合适的设计方案 (2) 3 主要硬件电路及器件介绍 (4) 3.1 80C51单片机 (4) 3.2 DAC0832 (5) 3.3 数码显示管 (6) 4 系统的硬件设计 (8) 4.1 硬件原理框图 (8) 4.2 89C51系统设计 (8) 4.3 时钟电路 (9) 4.4 复位电路 (9) 4.5 键盘接口电路 (10) 4.7 数模转换器 (11) 5 系统软件设计 (12) 5.1 流程图: (12) 5.2 产生波形图 (12) 5.2.1 正弦波 (12) 5.2.2 三角波 (13) 5.2.3 方波 (14) 6 结论 (16) 主要参考文献 (17) 致谢...................................................... 错误!未定义书签。

1引言 1.1题目要求及分析 题目:基于51单片机的波形发生器设计,即由51单片机控制产生正弦波、方波、三角波等的多种波形。 1.1.1示意图 图1:系统流程示意图 1.2设计要求 (1) 系统具有产生正弦波、三角波、方波三种周期性波形的功能。 (2) 用键盘控制上述三种波形(同周期)的生成,以及由基波和它的谐波(5次以下)线性组合的波形。 (3) 系统具有存储波形功能。 (4) 系统输出波形的频率范围为1Hz~1MHz,重复频率可调,频率步进间隔≤100Hz,非正弦波的频率按照10次谐波来计算。 (5) 系统输出波形幅度范围0~5V。 (6) 系统具有显示输出波形的类型、重复频率和幅度的功能。

北京邮电大学课设 基于MSP430的简单信号发生器的设计

基于MSP430的信号发生器 设计报告 学院:电子工程学院 班级:2013211212 组员:唐卓浩(2012211069) 王旭东(2013211134) 李务雨(2013211138) 指导老师:尹露

一、摘要 信号发生器是电子实验室的基本设备之一,目前各类学校广泛使用的是标准产品,虽然功能齐全、性能指标较高,但是价格较贵,且许多功能用不上。本设计介绍一款基于MSP430G2553 单片机的信号发生器。该信号发生器虽然功能及性能指标赶不上标准信号发生器,但能满足一般的实验要求,且结构简单,成本较低。本次需要完成的任务是以MSP430 LaunchPad 的单片机为控制核心、DAC 模块作为转换与按键电路作为输入构成的一种电子产品。MSP430 LaunchPad 单片机为控制核心,能实时的进行控制;按键输入调整输出状态,DAC0832将单片机输出的数字信号转化为模拟量,经运放放大后,在示波器上输出。在本次程序设计中充分利用了单片机内部资源,涉及到了中断系统、函数调用等。 关键字:信号发生器 MSP430单片机数模转换 二、设计要求 以msp430单片机为核心,通过一个DA (数字模拟)转换芯片,将单片机输出的方波、三角波、正弦波(数字信号)转换为模拟信号输出。提供芯片:msp430G2553、DAC0832、REF102、LM384、OP07。参考框图如下: Lauchpad MSP430 电位器 按键1 DA 转换DAC0832 放大输出LM384 按键N 按键2 AD …… 图1 硬件功能框图 1、基本要求 (1) 供电电压 VDD= 5V~12V ;(√) (2) 信号频率:5~500Hz(可调);(√) (3) 输出信号电压可调范围:≥0.5*VDD ,直流偏移可调:≥0.5*VDD ;(√) (4) 完成输出信号切换;(√) (5) 方波占空比:平滑可调20%~80%;(√) (6) 通带内正弦波峰峰值稳定度误差:≤±10%(负载1K )。(√)

波形发生器课程设计报告

课程设计报告书 波形发生器 学院电子与信息学院 专业班级 学生姓名 学生学号 指导教师 课程编号 课程学分1 起始日期2017 波形发生器 一、选题背景 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、矩形波的函数波形发生器。 二、方案论证 1、设计题目要求 1.1、功能要求 同时三通道输出,采用正弦波、矩形波、三角波的级联结构; 电源由稳压电源供给; 1.2、指标要求: 输出电压要求正弦波Vp-p>10V、矩形波Vp-p>10V、三角波Vp-p>4V; 输出波形频率范围为100Hz—2kHz;

通带内输出电压幅度峰峰值误差不大于5%; 矩形波占空比可调整,调整范围:10%~90%; 2、总体设计方案 2.1设计思路 根据模拟电子技术基础课程,可通过RC桥式正弦波振荡电路产生正弦波,通过比较器变换成矩形波,再通过积分电路变换成三角波;或者同过滞回比较器和RC电路组成的矩形波发生电路产生矩形波,通过积分电路变换成三角波,再用滤波法变换成正弦波。 2.2设计方案 满足上述设计功能可以实施的方案很多,现提出以下几种方案: 2.2.1方案一 ①原理框图 图2.2.1方案一原理框图 ②基本原理 通过RC桥式正弦波振荡电路,产生正弦波,改变电阻R和电容C的值实现频率可调;通过单限比较器,产生矩形波,接入参考电压,通过改变与参考电压串联电阻的阻值,实现占空比可调;通过积分电路,产生三角波。 2.2.2方案二 ①原理框图

简易函数信号发生器

课程设计任务书 (一)设计目的 1、掌握信号发生器的设计方法和测试技术。 2、了解单片函数发生器IC8038的工作原理和应用。 3、学会安装和调试分立元件与集成电路组成的多级电子电路小系统。 (二)设计技术指标与要求 1、设计要求 (1)电路能输出正弦波、方波和三角波等三种波形; (2)输出信号的频率要求可调; (3)拟定测试方案和设计步骤; (4)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (5)在面包板上或万能板或PCB板上安装电路; (6)测量输出信号的幅度和频率; (7)撰写设计报告。 2、技术指标 频率范围:100Hz~1KHz 1KHz~10KHz; 输出电压:方波V P-P≤24V,三角波V P-P=6V,正弦波V P-P=1V;方波t r小于1uS。 (三)设计提示 1、方案提示: (1)设计方案可先产生正弦波,然后通过整形电路将正弦波变成方波,再由积分电路将方波变成三角波;也可先产生三角波-方波,再将三角波变成正弦波。 (2)也可用单片集成芯片IC8038实现,采用这种方案时要求幅度可调。 2、设计用仪器设备: 示波器,交流毫伏表,数字万用表,低频信号发生器,实验面包板或万能板,智能电工实验台。 3、设计用主要器件: (1)双运放NE5532(或747)1只(或741 2只)、差分管3DG100 4个、电阻电容若干; (2)IC8038、数字电位器、电阻电容若干。 4、参考书: 《电子线路设计·实验·测试》谢自美主编华中科技大学出版社 《模拟电子技术基础》康华光主编高等教育出版社 《模拟电子技术》胡宴如主编高等教育出版社 (四)设计报告要求 1、选定设计方案; 2、拟出设计步骤,画出设计电路,分析并计算主要元件参数值; 3、列出测试数据表格; 4、调试总结,并写出设计报告。 (五)设计总结与思考 1、总结信号发生器的设计和测试方法;

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

波形发生器设计实验报告

一、实验目的 (1)熟悉555型集成时基电路结构、工作原理及其特点。 (2)掌握555型集成时基电路的基本应用。 (3)掌握由555集成型时基电路组成的占空比可调的方波信号发生器。 二、实验基本原理 555电路的工作原理 555集成电路开始是作定时器应用的,所以叫做555定时器或555时基电路。但后来经过开发,它除了作定时延时控制外,还可用于调光、调温、调压、调速等多种控制及计量检测。此外,还可以组成脉冲振荡、单稳、双稳和脉冲调制电路,用于交流信号源、电源变换、频率变换、脉冲调制等。由于它工作可靠、使用方便、价格低廉,目前被广泛用于各种电子产品中,555集成电路内部有几十个元器件,有分压器、比较器、基本R-S触发器、放电管以及缓冲器等,电路比较复杂,是模拟电路和数字电路的混合体。 555芯片管脚介绍 555集成电路是8脚封装,双列直插型,如图2(A)所示,按输入输出的排列可看成如图2(B)所示。其中6脚称阈值端(TH),是上比较器的输入;2脚称触发端(TR),是下比较器的输入;3脚是输出端(Vo),它有O和1两种状态,由输入端所加的电平决定;7脚是放电端(DIS),它是内部放电管的输出,有悬空和接地两种状态,也是由输入端的状态决定;4脚是复位端(MR),加上低电平时可使输出为低电平;5脚是控制电压端(Vc),可用它改变上下触发电平值;8脚是电源端,1脚是地端。

用555定时器组成的多谐振荡器如图所示。接通电源后,电容C2被充电,当电容C2上端电压Vc 升到2Vcc/3时使555第3脚V0为低电平,同时555内放电三极管T 导通,此时电容C2通过R1放电,Vc 下降。当Vc 下降到Vcc/3时,V0翻转为高电平。电容器C2放电所需的时间为 2ln 12??=C R t pL ( 1-1) 当放电结束时,T 截止,Vcc 将通过R1,R2,R3向电容器C2充电,Vc 由Vcc/3 上升到2Vcc/3所需的时间为 22)321(7.02ln )321(C R R R C R R R t pH ++=++= (1-2) 当Vc 上升到2Vcc/3时,电路又翻转为低电平。如此周而复始,于是,在电路的输出端就得到一个周期性的矩形波。电路的工作波形如图4,其中的震荡频率为 : f=1/(tpL+tpH )=1.43/(2R1+R2+R3) C2 (1-3) 三、实验设计目标 波形发生器是建立在模拟电子技术基础上的一个设计性实验,它是借助综合测试板上的555芯片和一片通用四运放324芯片,以及各种电阻、电感、电容等基本元器件,从而设计制作一个频率可变的同时输出脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ的波形产生电路,其借助于计算机软件multisim 仿真以及电路板硬件调

简易函数信号发生器的设计

单片机课程设计报告书 课题名称 简易函数信号发生器的设计 姓 名 ** 学 号 ** 院、系、部 ** 专 业 电子信息科学与技术 指导教师 ** 2011年12月12日 ※※※※※※※※※ ※ ※ ※※ ※ ※ ※※※※※※※※※ **级学生单片机 课程设计

目录 一、绪言 (1) 二、系统方案论证 (1) 2.1设计要求 (1) 2.2 简易函数信号发生器方案论证 (1) 2.3 单片机的控制方案论证 (1) 2.4 键盘选择方案论证 (2) 三、系统设计 (2) 3.1 硬件电路设计 (2) 3.2 程序流程图 (4) 3.3 C语言程序设计 (5) 四、简易函数信号发生器的仿真 (8) 4.1 系统仿真 (8) 4.2工作原理分析 (10) 结束语 (11) 参考文献 (11) 修改通篇页面设置里面的左右边距

一绪言 函数发生器是一种多波形的信号源。它可以产生正弦波、方波、三角波、锯齿波,甚至任意波形。函数发生器有很宽的频率范围,使用范围很广,它是一种不可缺少的通用信号源。因此设计使用的AT89S52单片机构成的发生器,可以产生正弦波和方波。 二系统方案论证 2.1设计要求 1、设计一个基于AT89S52单片机的信号发生器; 2、能够输出方波和正弦波(正弦波是双极性的),要求可用按键选择; 3、可选电压值为1V、2V、3V、4V、5V五个档位; 4、可选频率值为:10Hz、20Hz、50Hz、100Hz、200Hz、500Hz、1KHz七个档位; 5、能够通过显示模块显示输出波形的主要参数。 2.2 简易函数信号发生器方案论证 方案一:用分立元件组成函数发生器,通常是单函数发生器且频率不高,其工作不很稳定,不易调试。 方案二:可以由晶体管,运放 IC等通用器件制作,更多的则是用专用的函数信号发生器IC产生。早期的函数信号发生器IC,如L8083、BA205等,他们的功能少,精度不高,频率上限只有300KHz,频率和占空比不能独立调节,二者相互影响。 方案三:利用专用直接数字合成DDS芯片的函数发生器:能产生任意波形并且达到很高的频率。但成本很高。 方案四:采用 AT89S52单片机和DAC0832芯片,直接连接按键和显示。该种方案主要对AT89S52单片机的各个I/0口充分利用,不再多用其他的芯片,从而减小了系统的成本,也对按照系统便携式低频信号发生器的要求所完成,占用空间小,使用空间小,使用芯片少,低功耗。 综合考虑,方案四各项性能和指标都优于其他各种方案,能使输出频率有较好的稳定性,充分体现了模块化设计的要求,而且这些芯片和器件均为通用器件,在市场上较常见,价格也低廉,样品制作成功的可能性比较大,所以本设计采用方案四。 2.3 单片机的控制方案论证 方案一:采用可编程逻辑期间CPLD 作为控制器。CPLD可以实现各种复杂的逻辑功能、规模大、密度高、体积小、稳定性高、IO资源丰富、易于进行功能扩展。

简易信号发生器设计制作

简易信号发生器设计制作 一、训练目的 (1)掌握正弦波、三角波、矩形波和方波发生电路的工作原理; (2)学会正弦波、三角波、矩形波和方波发生电路的设计方法; (3)进一步熟悉电子线路的安装、调试、测试方法。 二、工作原理 正弦波、三角板、矩形波是电子电路中常用的测试信号,如测试放大器的增益、通频带等均要用到正弦信号作为测试信号。下面分别介绍产生这三种信号电路结构和工作原理。 1.正弦信号发生器 正弦信号的产生电路形式比较多,频率较低时常用文氏电桥振荡器,图7-1为实用文氏电桥振荡电路。图中R 1、R 2、R 3、RW 2构成负反馈支路,二极管D 1、D 2构成稳幅电路,C 2、R 11(或R 12或R 13)、C 1、R 21(或R 22或R 23)串并联电路构成正反馈支路,并兼作选频网络。调节电位器RW 2可以改变负反馈的深度,以满足振荡的振幅条件和改善波形。二极管D 1、D 2要求温度稳定性好,特性匹配以确保输出信号正负半周对称,R 4接入用以消除二极管的非线性影响,改善波形失真。如K1接电阻R 11、K2接R 21,并且R 11= R 21=R ,C 1= C 2=C ,则电路的振荡频率为: 1 2f RC π= (7-1) 起振的幅值条件: 1 1f v R A R =+ (7-2) 图7-1 正弦信号发生器 通过调整RW 2可以改变电路放大倍数,能使电路起振并且失真最小。该电路可通过开关K1、K2选择不同的电阻以得到不同频率的信号输出。 2.方波和矩形波发生器

方波发生电路如图7-2,其基本原理是在滞回比较器的基础上增加了由R 4和C 1构成的积分电路,输出电压通过该积分电路送人到比较器的反相输入端。其中R 3 、D Z1和D Z2构成双向限幅电路,这样就构成了方波发生器电路,其工作原理如下: 假设在接通电源瞬间,输出电压o v 为Z V +(稳压二极管D Z1、D Z2额定工作时的稳压值),这时比较器同相端的输入电压为 2 12 Z R v V R R +≈ + (7-3) 同时输出电压o v 会通过电阻R 4给C 1充电,反相端的输入电压v -就会逐步升高,当反向输入端的电压v -略大于同相端输入电压v +时,比较器输出电压立即从Z V +翻转为Z V -,这时输出端电压o v 为Z V -,比较器同相端输入电压v +'为 2 12 Z R v V R R +'≈- + (7-4) 这时输出的电压o v 会通过R 4对C 1进行反向充电,当反相输入端的电压略低于v +'时,输出状态再翻转回来,如此反复形成方波信号。所产生方波信号的频率为 41 1 2f R C = 方波 (7-5) R 4 o 图7-2 方波发生电路

函数波形发生器课程设计报告

课程设计报告 学生姓名:学号: 学院:电气工程学院 班级: 题目: 函数波形发生器的设计 指导教师:职称: 年月日

一. 设计要求 函数波形发生器 基本要求: (1)用运算放大器和分立元件实现,生成方波、三角波、矩形波 (2)波形的幅值、频率可调 (3)用运算放大器和分立元件实现正弦波(拓展) 二. 设计原理及框图 图1 方波、三角波、正弦波、锯齿波、矩形波信号发生器的原理框图 原理: 1.该电路通过电压比较器即可组成方波信号发生器。 2.然后经过积分电路产生三角波,通过改变方波的占空比不仅可以得到锯齿波, 还可得到额外的矩形波。 3.三角波通过低通滤波电路来实现正弦波的输出。 电压比较器 方波 占空比可调 积分电路 锯齿波 积分电路 三角波 低通发生器 正弦波 通过四综示波器将三角波、方波、锯齿波、矩形波、正弦波显示出来 矩形波

三.器件说明 类型规格数量备注 电阻20KΩ 1 R1 10KΩ 3 R2、R3、R4 5KΩ 1 R5 510Ω 2 R11、R12 滑动变阻器50KΩ 1 R6 20 KΩ 2 R7、R8 5MΩ 1 R9 100KΩ 1 R10 集成运放3554AM 2 U1、U2 电容240nF 1 C1 2.2uF 2 C2、C3 开关单刀双掷开关 1 J1 普通二极管1N4148 1 D3 稳压二极管1N4731A 2 D1、D2 示波器四综示波器 1 XSC1

四.设计过程 4.1方波——三角波设计电路原理 图2 方波-三角波函数发生器电路 参数的计算为: 1.方波接入示波器的A通道,三角波接入示波器的B通道。 2.将比较器的输出电平稳定在±5V,选用IN4731(4.3V),其Uo=±(4.3+0.7)=±5V。 3.可变电阻R7、R8用来改变电阻比值以改变方波和三角波的输出幅值。取R2为10kΩ,则R1为20kΩ,需要改变幅值时再使用可变电阻。 4.f0需在10Hz到100Hz的范围内以10倍频程变化,则电路用电容C1来实现10倍频程变化,用R=R5+R6来实现每个频程内的f0的连续变化,设R5为5k Ω,则R6约为50kΩ,计算f0从10Hz到100Hz时电路中的电容C1有: ,

简易波形发生器的设计

目录 第一章单片机开发板 (1) 1.1 开发板制作 (1) 1.1.1 89S52单片机简介 (1) 1.1.2 开发板介绍 (2) 1.1.3 89S52的实验程序举例 (3) 1.2开发板焊接与应用 (4) 1.2.1开发板的焊接 (4) 1.2.2开发板的应用 (5) 第二章函数信号发生器 (7) 2.1电路设计 (7) 2.1.1电路原理介绍 (7) 2.1.2 DAC0832的工作方式 (9) 2.2 波形发生器电路图与程序 (10) 2.2.1应用电路图 (10) 2.2.2实验程序 (11) 2.2.3 调试结果 (15) 第三章参观体会 (16) 第四章实习体会 (17) 参考文献 (18)

第一章单片机开发板 1.1 开发板制作 1.1.1 89S52单片机简介 图1.1 89s52 引脚图 如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。 各功能部件的介绍: 1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。 2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。 3)中断系统:具有5个中断源,2级中断优先权。 4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。 5)串行口:1个全双工的串行口,具有四种工作方式。 6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。 7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。 8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用; B、P1口是一个准双向并行口,作通用并行I/O口使用; C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用; D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。 控制引脚介绍: 1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接20引脚。 2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。 振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,

简易信号发生器的设计实现

EDA课程设计简易信号发生器的设计实现 小组成员:XXXXXX XXXXX 专业:XXXXX 学院:机电与信息工程学院指导老师:XXXXXX 完成日期:XX年XX月XX日

目录 引言 (3) 一、课程设计内容及要求 (3) 1、设计内容 (3) 2、设计要求 (3) 二、设计方案及原理 (3) 1、设计原理 (3) 2、设计方案 (4) (1)设计思想 (4) (2)设计方案 (4) 3、系统设计 (5) (1)正弦波产生模块 (5) (2)三角波产生模块 (6) (3)锯齿波产生模块 (6) (4)方波产生模块 (6) (5)波形选择模块 (6) (6)频率控制模块 (6) (7)幅度控制模块 (6) (8)顶层设计模块 (7) 三、仿真结果分析 (7) 波形仿真结果 (7) 1、正弦波仿真结果 (7) 2、三角波仿真结果 (8) 3、锯齿波仿真结果 (8) 4、方波仿真结果 (8) 5、波形选择仿真结果 (9) 6、频率控制仿真结果 (9) 四、总结与体会 (10) 五、参考文献 (10) 六、附录 (11)

简易信号发生器 引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。 本次课程设计采用FPGA来设计多功能信号发生器。 一、课程设计内容及要求 1、设计内容 设计一个多功能简易信号发生器 2、设计要求 (1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。 (2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。 (3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。 (4)输出波形幅度可调,最小幅度步进为100mV。 二、设计方案及原理 1、设计原理 (1)简易信号发生器原理图如下

DAC0832波形发生器课程设计实验报告1

DAC的输出控制 班级: 1221201 专业:测控技术与仪器 姓名: xxxxx 学号: xxxxx 指导老师:周伟 东华理工大学 2015年1月12日

目录 第1章系统设计方案 (2) 1.1 设计思路 (2) 1.2 方案比较与选择 (2) 第2章系统硬件设计……………………………………………………………………….2. 2.1 主控制器电路 (2) 2.2 数模转换电路 (3) 第3章系统软件设计…………………………………………………………………….. .6 3.1 系统整体流程………………………………………………………………………….. .6 3.2 数模转换程序………………………………………………………………………….. .6 第4章系统调试 (8) 4.1 proteus的调试 (8) 第5章结论与总结 (11) 5.1 结论 (11) (系统总体设计与完成做一个总结,是客观的,主要包括:设计思路,设计过程,测试结果及完善改进的方向。) 5.2 总结 (11) (这是一个主观的总结,谈谈自己收获和不足等方面的内容。)

第1章系统设计方案 1.1 设计思路 (一)、课设需要各个波形的基本输出。如输出矩形波、锯齿波,正弦波。这些波形的实现的具体步骤:正弦波的实现是非常麻烦的。它的实现过程是通过定义一些数据,然后执行时直接输出定义的数据就可以了。然而为了实现100HZ的频率,终于发现,将总时间除了总步数,根据每步执行时间,算出延时时间,最终达到要求,然后建一个表通过查表来进行输出,这样主要工作任务就落到了建表的过程中。这样做的好处在于,查表所耗费的时钟周期相同,这样输出的点与点之间的距离就相等了,输出的波形行将更趋于完美,当然更让我们感到的高兴的是它输出波形的频率将近达到了100赫兹,能够满足我们设计的扩展要求了。而三角波,则每次累加1,当达到初值时,每次累减1,算出延时时间,也就达到要求了,矩形波和锯齿波类似。 (二)、这次做的三种波形可以相互转换,这个实现起来找了很多人最终发现,在每次循环之初进行扫描,而在每个中断入口处,对中断优先级进行设定,最终达到设计目的。 1.2 方案比较与选择 方案一:采用模拟电路搭建函数信号发生器,它可以同时产生方波、三角波、正弦波。 但是这种模块产生的不能产生任意的波形(例如梯形波),并且频率调节很不方便。 方案二:采用锁相式频率合成器,利用锁相环,将压控振荡器(VCO)的输出频率锁定 在所需频率上,该方案性能良好,但难以达到输出频率覆盖系数的要求,且电路复杂。 方案三:使用集成信号发生器发生芯片,例如AD9854,它可以生成最高几十MHZ的波形。 但是该方案也不能产生任意波形(例如梯形波),并且价格昂贵。 方案四:采用AT89C51单片机和DAC0832数模转换器生成波形,加上一个低通滤波器, 生成的波形比较纯净。它的特点是可产生任意波形,频率容易调节,频率能达到设计的500HZ 以上。性能高,在低频范围内稳定性好、操作方便、体积小、耗电少。 经比较,方案四既可满足课程设计的基本要求又能充分发挥其优势,电路简单,易控制,性价比高,所以采用该方案. 第2章系统硬件设计 2.1 主控制器电路 89C52可编程并行接口芯片有三个输入输出端口,即A口、B口和C口,对应于引脚PA7~PA0、PB7~PB0和PC7~PC0。其内部还有一个控制寄存器,即控制口。通常A口、B口作为输入输出的数据端口。C口作为控制或状态信息的端口,它在方式字的控制下,可以分成4位的端口,每个端口包含一个4位锁存器。它们分别与端口A/B配合使用,可以用作控制信号输出或作为状态信号输入。 89C52可编程并行接口芯片工作方式说明:

波形发生器课程设计

1.设计题目:波形发生电路 2.设计任务和要求: 要求:设计并用分立元件和集成运算放大器制作能产生方波和三角波波形的波形发生器。 基本指标:输出频率分别为:102H Z 、103H Z ;输出电压峰峰值V PP ≥20V 3.整体电路设计 1)信号发生器: 信号发生器又称信号源或振荡器。按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。各种波形曲线均可以用三角函数方程式来表示,如三角波、锯齿波、矩形波(含方波)、正弦波。通过模拟电子技术设计的波形发生器是一个不需要外加输入信号,靠自身振荡产生信号的电路。2)电路设计: 整体电路由RC振荡电路,反相输入的滞回比较器和积分电路组成。 理由:a)矩形波电压只有两种状态,不是高电平,就是低电平,所以电压比较器是它的重要组成部分; b)产生振荡,就是要求输出的两种状态自动地相互转换,所以电路中必须引入反馈; c)输出状态应按一定的时间间隔交替变化,即产生周期性变化,所以电路中要有延迟环节来确定每种状态维持的时间。 RC振荡电路:即作为延迟环节,又作为反馈电路,通过RC充放电实现输出状态的自动转换。 反相输入的滞回比较器:矩形波产生的重要组成部分。 积分电路:将方波变为三角波。 3)整体电路框图: 为实现方波,三角波的输出,先通过 RC振荡电路,反相输入的滞回比较器得到方波,方波的输出,是三角波的输入信号。三角波进入积分电路,得出的波形为所求的三角波。其电路的整体电路框图如图1所示:

图1 4)单元电路设计及元器件选择 a ) 方波产生电路 根据本实验的设计电路产生振荡,通过RC 电路和滞回比较器时将产生幅值约为12V 的方波,因为稳压管选择1N4742A (约12V )。电压比较电路用于比较模拟输入电压与设定参考电压的大小关系,比较的结果决定输出是高电平还是低电平。滞回比较器主要用来将信号与零电位进行比较,以决定输出电压。图3为一种滞回电压比较器电路,双稳压管用于输出电压限幅,R 3起限流作用,R 2和R 1构成正反馈,运算放大器当u p >u n 时工作在正饱和区,而当u n >u p 时工作在负饱和区。从电路结构可知,当输入电压u in 小于某一负值电压时,输出电压u o = -U Z ;当输入电压u in 大于某一电压时,u o = +U Z 。运算放大器在两个饱和区翻转时u p =u n =0,由此可确定出翻转时的输入电压。u p 用u in 和u o 表示,有 2 1o 1in 22 1o 2 in 1p 111 1R R u R u R R R u R u R u ++= ++= 根据翻转条件,令上式右方为零,得此时的输入电压 th Z 2 1 o 21in U U R R u R R u ==-= U th 称为阈值电压。滞回电压比较器的直流传递特性如图4所示。设输入电压初始值小于-U th ,此时u o = -U Z ;增大u in ,当u in =U th 时,运放输出状态翻转,进入正饱和区。如果初始时刻运放工作在正饱和区,减小u in ,当u in = -U th 时,运放则开始进入负饱和区。 RC 振荡电路 积分电路 方波 三角波 反相输入的滞回比较 生成 生成 输入 积分电路 输入

简易函数信号发生器的设计

简易函数信号发生器的设计 一、 电路功能 能同时输出方波、三角波和正弦波三种波形。 二、 技术指标 信号发生器能产生方波、三角波和正弦波三种周期性波形输出信号频率范围在100Hz —10KHz 可调,输出信号的峰峰值可调,方波的峰峰值约为8V ,三角波的峰峰值约为5V ,正弦波的峰峰值约为6V 。 三、 电路原理框图 (电路原理框图) 四、 元器件的介绍 1、 集成运算放器LM324 每块运放集成电路内含有四个相同的运算放大器,它们电源共用,彼此独立工作,管脚排列如图一所示。 图一(集成运算放大器LM324)

2、发光二极管LED 本次设计所用的发光二极管有绿色和红色两种圆头发光二极管,发光二极管的管脚有长短,长的为正极,短的为负极。 3、二极管 二极管具有单向导电性,如图3所示。如图中所示,二极管的一端是银色的,此端口为负极。 图二(二极管) 4、PNP和NPN PNP和NPN分别有三个管脚,分别有基极b,集电极c和发射极e,他们的分布店铺是如图四所示。 图三(三极管) 五、电路中元件参数的计算与取值,元器件清单 1、方波、三角波电路 2、

电路图如图四所示是产生方波和三角波的电路原理图。如图所示,A U 1构成有源积分器, A U 2构成迟滞比较器。 A U 2中,根据“虚短虚开”得 当n v =p v =0时,01v 的值为门限电压 当01V 单独作用时,p v = 01122 V R R R + (1) 当02V 单独作用时,p v = 022 11 V R R R + (2) ∴ 022 11 01212V R R R V R R R +++ = 0 (3) ∴此时01v 为门限电压T V T V ∴=01V = 022 1 V R R - (4) 又02V = z V ± = ±4V ∴ +T V = Z V R R 21 (5) -T V = z V R R 2 1 - (6) ∴ 当01v 达到+T V 时,三角波反转;当01v 达到-T V 时,三角波再次反转 ∴ +T V 和-T V 分别代表三角波的峰-峰值 ∴ 峰-峰值 m m v 01 = +T V --T V = z V R R 2 1 2,又称回差电压 又由原理图可知,方波的峰-峰值为z V 2 图四(方波三角波产生电路) 300 -4V +4V 2 0R

波形发生器课程设计

波形发生器设计 设计总说明 本系统采用AT89C51单片机作为控制核心,外围采用数字/模拟转换电路(DAC0832)运算放大器、按键等。采用AT89C51单片机和DAC0832芯片,直接连接键盘和显示。该种方案主要对AT89C51单片机的各个I/O口充分利用. P1口是连接键盘以及接显示电路,P2口连接DAC0832输出波形.这样总体来说,能对单片机各个接口都利用上,而不在多用其它芯片,从而减小了系统的成本.也对按照系统便携式低频信号发生器的要求所完成.占用空间小,使用芯片少,低功耗。 通过按键控制可产生方波、三角波、正弦波、梯形波、锯齿波。其设计简单、性能优好,具有一定的实用性。正弦波、三角波、方波、梯形波、锯齿波是较为常见的信号。在科学研究及教学实验中常常需要这几种信号的发生装置。 关键字:AT89C5,DAC0832,运算放大器

目录 1绪论 (1) 1.1设计目的 (1) 1.2设计容 (1) 2系统设计方案 (3) 2.1系统组成 (3) 2.2系统工作原理 (3) 3系统硬件电路设计 (4) 3.1单片机最小系统设计 (4) 3.2其他硬件模块电路设计 (4) 3.2.1 DAC0832芯片介绍 (4) 3.2.2单片机AT89C51介绍 (6) 4系统软件程序设计 (10) 4.1主程序设计 (10) 4.2其他子程序设计 (11) 4.2.1锯齿波流程设计 (11) 4.2.2梯形波流程设计 (12) 4.2.3三角波流程设计 (13) 4.2.4方波流程设计 (14) 4.2.5正弦波流程设计 (15)

5 调试与仿真 (18) 6 总结 (19) 致 (21) 参考文献 (22)

相关文档