文档库 最新最全的文档下载
当前位置:文档库 › 数字信号处理 第四版答案第四章

数字信号处理 第四版答案第四章

数字信号处理 第四版答案第四章
数字信号处理 第四版答案第四章

数字信号处理习题及答案1

数字信号处理习题及答案1 一、填空题(每空1分, 共10分) 1.序列()sin(3/5)x n n π=的周期为 。 2.线性时不变系统的性质有 律、 律、 律。 3.对4()()x n R n =的Z 变换为 ,其收敛域为 。 4.抽样序列的Z 变换与离散傅里叶变换DFT 的关系为 。 5.序列x(n)=(1,-2,0,3;n=0,1,2,3), 圆周左移2位得到的序列为 。 6.设LTI 系统输入为x(n) ,系统单位序列响应为h(n),则系统零状态输出 y(n)= 。 7.因果序列x(n),在Z →∞时,X(Z)= 。 二、单项选择题(每题2分, 共20分) 1.δ(n)的Z 变换是 ( )A.1 B.δ(ω) C.2πδ(ω) D.2π 2.序列x 1(n )的长度为4,序列x 2(n ) 的长度为3,则它们线性卷积的长度是 ( )A. 3 B. 4 C. 6 D. 7 3.LTI 系统,输入x (n )时,输出y (n );输入为3x (n-2),输出为 ( ) A. y (n-2) B.3y (n-2) C.3y (n ) D.y (n ) 4.下面描述中最适合离散傅立叶变换 DFT 的是 ( ) A.时域为离散序列,频域为连续信号 B.时域为离散周期序列,频域也为离散周期序列 C.时域为离散无限长序列,频域为连续周期信号 D.时域为离散有限长序列,频域也为离散有限长序列 5.若一模拟信号为带限,且对其抽样满足奈奎斯特条件,理想条件下将抽样信号通过 即 可完全不失真恢复原信号 ( )A.理想低通滤波器 B.理想高通滤波器 C.理想带通滤波器 D.理 想带阻滤波器 6.下列哪一个系统是因果系统 ( )A.y(n)=x (n+2) B. y(n)= cos(n+1)x (n) C. y(n)=x (2n) D.y(n)=x (- n)

数字信号处理第四章附加题

第四章附加题 1. 由三阶巴特沃思低通滤波器的幅度平方函数推到其系统函数,设 1/c rad s Ω=。 2. 设计一个满足下列指标的模拟Butterworth 低通滤波器,要求通带的截止频率 6,p f kHz =,通带最大衰减3,p A dB =,阻带截止频率12,s f kHz =,阻带的最 小衰减25s A dB =,求出滤波器的系统函数。 3. 设计一个模拟切比雪夫低通滤波器,要求通带的截止频率 f p =3kHz ,通带衰 减要不大于0.2dB ,阻带截止频率 f s = 12kHz ,阻带衰减不小于 50dB 。 4. 数字滤波器经常以下图描述的方式来处理限带模拟信号。 (1) 如果系统()h n 的截止频率是8rad s π,110T kHz =,等效模拟滤波器的截止频率是多少? (2) 设120kHz =,重复(1)。 () () () () () () () T T a x t x n y n y t a h n ???→ ???→ ???→ ???→模-数变换器 数-模变换器 采样周期采样周期 5. 一个线性时不变因果系统由下列差分方程描述 ()()()()10.51y n x n x n y n =---- (1) 系统函数()H Z ,判断系统属于FIR 和IIR 中的哪一类以及它的滤波特性(低通、高通等)。 (2) 若输入()()2cos 0.55x n n π=+ ()0n ≥,求系统输出信号达到稳态后的最大幅度値。 6. 设()a h t 表示一模拟滤波器的单位冲激响应, ()0.9,0 0,0 t a e t h t t -?≥=?

数字信号处理的应用和发展前景

数字信号处理的应用与发展趋势 作者:王欢 天津大学信息学院电信三班 摘要: 数字信号处理是应用于广泛领域的新兴学科,也是电子工业领域发展最为迅速的技术之一。本文就数字信号处理的方法、发展历史、优缺点、现代社会的应用领域以及发展前景五个方面进行了简明扼要的阐述。 关键词: 数字信号处理发展历史灵活稳定应用广泛发展前景 数字信号处理的简介 1.1、什么是数字信号处理 数字信号处理简称DSP,英文全名是Digital Signal Processing。 数字信号处理是利用计算机或专用处理设备以数字的形式对信号进行采集、变换、滤波、估值、增强、压缩、识别等处理,以得到符合人们需要的信号形式。 DSP系统的基本模型如下: 数字信号处理是一门涉及许多学科且广泛应用于许多领域的新兴学科。它以众多的学科为理论基础,所涉及范围及其广泛。例如,在数学领域、微积分、概率统计、随即过程、数值分析等都是数字信号处理的基本工具;同时与网络理论、信号与系统、控制论、通信理论、故障诊断等学科也密切相关。近年来的一些新兴学科,如人工智能、模式识别、神经网络等,都是与数字信号处理密不可分的。数字信号处理可以说许多经典的理论体系作为自己的理论基础,同时又使自己成为一门新兴学科的理论基础。 1.2、数字信号系统的发展过程 数字信号处理技术的发展经历了三个阶段。 70 年代DSP 是基于数字滤波和快速傅里叶变换的经典数字信号处理, 其系统由分立的小规模集成电路组成, 或在通用计算机上编程来实现DSP 处理功能, 当时受到计算机速度和存储量的限制,一般只能脱机处理, 主要在医疗电子、生物电子、应用地球物理等低频信号处理方面获得应用。 80 年代DSP 有了快速发展, 理论和技术进入到以快速傅里叶变换(FFT) 为主体的现代信号处理阶段, 出现了有可编程能力的通用数字信号处理芯片, 例如美国德州仪器公司(TI公司) 的TMS32010 芯片, 在全世界推广应用, 在雷达、语音通信、地震等领域获得应用, 但芯片价格较贵, 还不能进 入消费领域应用。 90 年代DSP 技术的飞速发展十分惊人, 理论和技术发展到以非线性谱估计为代表的更先进的信号处理阶段, 能够用高速的DSP 处理技术提取更深层的信息, 硬件采用更高速的DSP 芯片, 能实时地完成巨大的计算量, 以TI 公司推出的TMS320C6X 芯片为例, 片内有两个高速乘法器、6 个加法器, 能以200MHZ 频率完成8 段32 位指令操作, 每秒可以完成16 亿次操作, 并且利用成熟的微电子工艺批量生产,使单个芯片成本得以降低。并推出了C2X 、C3X 、C5X 、C6X不同应用范围的系列, 新一代的DSP 芯片在移动通信、数字电视和消费电子领域得到广泛应用, 数字化的产品性能价 格比得到很大提高, 占有巨大的市场。 1.3、数字信号处理的特点

数字信号处理第四章

第四章线性时不变离散时间系统的频域分析 一、传输函数和频率响应 例4.1传输函数分析 Q4.1 clear; M = input('Enter the filter lengthM: '); w = 0:2*pi/1023:2*pi; num = (1/M)*ones(1,M); den = [1]; h = freqz(num,den, w); subplot(2,1,1) plot(w/pi,abs(h));grid title('Magnitude Spectrum |H(e^{j\omega})|') xlabel('\omega /\pi'); ylabel('Amplitude'); subplot(2,1,2) plot(w/pi,angle(h));grid title('Phase Spectrum arg[H(e^{j\omega})]') xlabel('\omega/\pi'); ylabel('Phase in radians'); M=2M=10M=15

幅度谱为偶对称,相位谱为奇对称,这是一个低通滤波器。M越大,通带越窄且过渡带越陡峭。 Q4.2使用修改后的程序P3.1,计算并画出当w=[0,pi]时传输函数 的因果线性时不变离散时间系统的频率响应。它表示哪种类型的滤波器? w = 0:pi/511:pi; num =[0.15 0 -0.15]; den = [1 -0.50.7]; 如下图1这是一个带通滤波器。 图1图2 Q4.3对下面的传输函数重做习题Q4.2:,式(4.36)和式(4.37)给出的两个滤波器之间的区别是什么?你将选择哪一个滤波器来滤波,为什么? w = 0:pi/511:pi; num = [0.15 0 -0.15]; den = [0.7 -0.5 1]; 如上图2也是一个带通滤波器,这两个滤波器的幅度谱是一样的,相位谱不太一样,我会选择第一个带通滤波器,因为它的相位谱更加平滑,相位失真小。 Q4.4 使用MATLAB计算并画出当w=[0,pi]时因果线性时不变离散时间系统的群延迟。 系统的传输函数为。 clf; w =0:pi/511:pi; num = [1 -1.21]; den = [1 -1.3 1.04 -0.222]; h= grpdelay(num,den,w); plot(w/pi,h); xlabel('w/pi'); ylabel('群延迟');

数字信号处理课后答案

1.4 习题与上机题解答 1. 用单位脉冲序列δ(n)及其加权和表示题1图所示的序列。 题1图 解:x(n)=δ(n+4)+2δ(n+2)-δ(n+1)+2δ(n)+δ(n -1)+2δ(n -2)+4δ(n -3)+0.5δ(n -4)+2δ(n -6) 2. 给定信号: ?? ? ??≤≤-≤≤-+=其它04 061 452)(n n n n x (1) 画出x(n)序列的波形, 标上各序列值; (2) 试用延迟的单位脉冲序列及其加权和表示x(n)序列; (3) 令x 1(n)=2x(n -2),试画出x 1(n)波形; (4) 令x 2(n)=2x(n+2),试画出x 2(n)波形; (5) 令x 3(n)=x(2-n),试画出x 3(n)波形。 解:(1) x(n)序列的波形如题2解图(一)所示。 (2) x(n)=-3δ(n+4)-δ(n+3)+δ(n+2)+3δ(n+1)+6δ(n)+6δ(n -1)+6δ(n -2)+6δ(n -3)+6δ(n -4) (3)x 1(n)的波形是x(n)的波形右移2位,再乘以2,画出图形如题2解图(二)所示。 (4) x 2(n)的波形是x(n)的波形左移2位,再乘以2,画出图形如题2解图(三)所示。 (5) 画x 3(n)时,先画x(-n)的波形(即将x(n)的波形以纵轴为中心翻转180°),然后再右移

2位, x 3(n)波形如题2解图(四)所示。 3.判断下面的序列是否是周期的; 若是周期的, 确定其周期。 (1)是常数 A n A n x 8π73 cos )(??? ??-=π (2))8 1 (j e )(π-= n n x 解:(1) 因为ω=7 3 π, 所以314 π 2= ω , 这是有理数,因此是周期序列,周期T=14。 (2) 因为ω=81 , 所以ωπ2=16π, 这是无理数, 因此是非周期序列。 4. 对题1图给出的x(n)要求: (1) 画出x(-n)的波形; (2) 计算x e (n)=1/2[x(n)+x(-n)], 并画出x e (n)波形; (3) 计算x o (n)=1/2[x(n)-x(-n)], 并画出x o (n)波形; (4) 令x 1(n)=x e (n)+x o (n), 将x 1(n)与x(n)进行比较, 你能得到什么结论? 解:(1)x(-n)的波形如题4解图(一)所示。 (2) 将x(n)与x(-n)的波形对应相加,再除以2,得到x e (n)。毫无疑问,这是一个偶对称序列。x e (n)的波形如题4解图(二)所示。 (3) 画出x o (n)的波形如题4解图(三)所示。 (4) 很容易证明:x(n)=x 1(n)=x e (n)+x o (n) 上面等式说明实序列可以分解成偶对称序列和奇对称序列。偶对称序列可以用题中(2)的公式计算,奇对称序列可以用题中(3)的公式计算。 5.设系统分别用下面的差分方程描述,x(n)与y(n)分别表示系统输入和输出,判断系统是否是线性非时变的。

数字信号处理第四章习题

第四章习题 4.1 (a) By expanding the equation ()()[]()??????==?--∞→∞ →2 200021T T Ft j T xx T xx dt e t x T E lim F P E lim F 00πΓ taking the expected value, and finally taking the limit as ∞→0T , show that the right-hand side converges to )(f xx Γ. (b) Prove that 2102211)(1)(∑∑-=---+-==N n fn j fm j N N m xx e n x N e m r ππ. 4.2 For zero-mean, jointly Gaussian random variables, X 1, X 2, X 3, X 4, it is well known that )()()()()()()(3241423143214321X X E X X E X X E X X E X X E X X E X X X X E ++=. Use this result to derive the mean-square value of ()m r xx and the variance, given by ()[][]()()()[]∑∞-∞=+-+-≈n xx xx xx xx m n m n n m N N m r γγγ*22 var which is defined as [][][]2 2(()(var m r E m r E m r xx xx xx -=. 4.3 By use of the expression for the fourth joint moment for Gaussian random variables, show that (a)()()[]?? ??????????????--+??????+++=2212122121421)(sin )(sin )(sin )(sin 1f f N N f f f f N N f f f P f P E x xx xx ππππσ (b)[]?? ??????????????--+??????++=2212122121421)(sin )(sin )(sin )(sin )()(cov f f N N f f f f N N f f f P f P x xx xx ππππσ

FPGA在高速数字信号处理中的使用

由于成本、系统功耗和面市时间等原因,许多通讯、视频和图像系统已无法简单地用现有DSP处理器来实现,现场可编程门阵列(FPGA)尤其适合于乘法和累加(MAC)等重复性的DSP任务。本文从FPGA与专用DSP器件的运算速度和器件资源的比较入手,介绍FPGA 在复数乘法、数字滤波器设计和FFT等数字信号处理中应用的优越性,值得(中国)从事信号处理的工程师关注。 Chris Dick Xilinx公司 由于在性能、成本、灵活性和功耗等方面的优势,基于FPGA的信号处理器已广泛应用于各种信号处理领域。近50%的FPGA产品已进入各种通信和网络设备中,例如无线基站、交换机、路由器和调制解调器等。FPGA提供了极强的灵活性,可让设计者开发出满足多种标准的产品。例如,万能移动电话能够自动识别GSM、CDMA、TDMA或AMPS等不同的信号标准,并可自动重配置以适应所识别的协议。FPGA所固有的灵活性和性能也可让设计者紧跟新标准的变化,并能提供可行的方法来满足不断变化的标准要求。 复数乘法 复数运算可用于多种数字信号处理系统。例如,在通讯系统中复数乘积项常用来将信道转化为基带。在线缆调制解调器和一些无线系统中,接收器采用一种时域自适应量化器来解决信号间由于通讯信道不够理想而引入的干扰问题。量化器采用一种复数运算单元对复数进行处理。用来说明数字信号处理器优越性能的指标之一就是其处理复数运算的能力,尤其是复数乘法。 一个类似DSP-24(工作频率为100MHz)的器件在100ns内可产生24×24位复数乘积(2个操作数的实部和虚部均为24位精度)。复数乘积的一种计算方法需要4次实数乘法、1次加法和1次减法。一个满精度的24×24实数管线乘法器需占用348个逻辑片。将4个实数乘法器产生的结果组合起来所需的2个48位加法/减法器各需要24个逻辑片(logic slice)。这些器件将工作在超过100MHz的时钟频率。复数乘法器采用一条完全并行的数据通道,由4×348+2×24=1440个逻辑片构成,这相当于Virtex XCV1000 FPGA所提供逻辑资源的12%。计算一个复数乘积所需的时间为10ns,比DSP结构的基准测试快一个数量级。为了获得更高的性能,几个完全并行的复数乘法器可在单个芯片上实现。采用5个复数乘法器,假设时钟频率为100MHz,则计算平均速率为每2ns一个复数乘积。这一设计将占用一个XCV1000器件约59%的资源。 这里应该强调的一个问题是I/O,有这样一条高速数据通道固然不错,但为了充分利用它,所有的乘法器都须始终保持100%的利用率。这意味着在每一个时钟来临时都要向这些单元输入新的操作数。 除了具有可实现算法功能的高可配置逻辑结构外,FPGA还提供了巨大的I/O带宽,包括片上和片外数据传输带宽,以及算术单元和存储器等片上部件之间的数据传输带宽。例如,XCV1000具有512个用户I/O引脚。这些I/O引脚本身是可配置的,并可支持多种信号标准。实现复数乘法器的另一种方法是构造一个单元,该单元采用单设定或并行的24x24实数乘法器。这种情况下,每一个复数乘法需要4个时钟标识,但是FPGA的逻辑资源占用率却降到了最低。同样,采用100MHz系统时钟,每隔40ns可获得一个新的满精度复数乘积,这仍是DSP结构基准测试数据的2.5倍。这一设定方法需要大约450个逻辑片,占一个XCV1000器件所有资源的3.7%(或XCV300的15%)。 构造一条能够精确匹配所需算法和性能要求的数据通道的能力是FPGA技术独特的特性之一。而且请注意,由于FPGA采用SRAM配置存储器,只需简单下载一个新的配置位流,同样的FPGA硬件就可适用于多种应用。FPGA就像是具有极短周转时间的微型硅片加工厂。

数字信号处理习题解答1

第一章 第二章 11-=--m/2 m=-m -/2 12 m=--/2 -/21 2 m=-m=-()121.7DTFT[x(2n)]=(2n)e m=2n DTFT[x(2n)]=(m)e =[()(1) ()]e [()e e ()e ] [()()] j n n j m j m j m j m j m j j x x x m x m x m x m X e X e ωωωωπ ωωωπ∞ ∞∞ ∞∞ ∞∞ ∞ ∞ ∞-+-=+ =+∑∑ ∑∑∑,为偶数 求下列序列的傅里叶变换()x(2n) 令,于是 -n 1 1 121 z (1) 2u(n)()2 ()2 1,|(2)|11(2),||n n n n n n X z u n z z z z z z z +∞ --=-∞+∞ --=-∞ --=== <-=>-∑∑14.求出下列序列的变换及收敛域 3.3(1).()cos(),781() 8 (2).()5.25n 640() (5)()x n A n A j n x n e x n y n e πππω=--==判断下面的序列是否周期的是常数 试判断系统是否为线性时不变的()y(n)=x (n)(7) y(n)=x(n)sin() .试判断系统是否为因果稳定系统()y(n)=x(n-n )

-1 -1-2 -1 -1112 1-317.X(z)=,2-5+2105< | z | < 2x(n)(2) | z | > 2x(n) 11 X(z)= -1-z 1-2z 05< | z | < 2(n)=2(-n-1)+()(n) | z | > 2(n)=()(n)-2(n)n n n n z z z u u u u 已知分别求:()收敛域.对应的原序列收敛域对应的原序列解:收敛域.时: x 收敛域时: x -1-1 -1 -1-1 -1 21.(n)=0.9y(n-1)+x(n)+0.9x(n-1)(1)h(n)(2)H(e )1+0.9(1)H(z)=,|z|>0.91-0.91+0.9F(z)=H(z)z =z 1-0.9n 1z=0.9(n j n n z z z z h ω≥已知线性因果网络用下面差分方程表示: y 求网络的系统函数及单位脉冲响应写出网络频率响应函数的表达式,并定性画出其幅频特性曲线解: 令当时,有极点-1-1=0.9-112-1-1-1-1=0=0.9-1-1)=Res[F(z),0.9]1+0.9=z (z-0.9)|1-0.9=20.9(n)=0,n<0 n=0z =0,=0.9(n)=Res[F(z),0]+Res[F(z),0.9]1+0.91+0.9=z z|+z (z-0.9)|1-0.91-0.9=-1+2=1 h(n)=n z n z z z z z h z z z z ?∴因为系统是因果系统,所以有h 当时,有极点00000000=0n-m =0n -m =0 n n 20.9(n-1)+(n)+0.9 (2)H(e )=-0.9 (3)y(n)=h(n)*x(n) =(m)x(n-m) =(m)e =(m)e e =e H(e )+0.9=e -0.9 n j j j m j m j j m j j j j j u e e h h h e e ωω ω ωωωωωωωωδ∞ ∞ ∞ ?∑∑∑( )

基于TMS320C6455的高速数字信号处理系统设计

基于TMS320C6455的高速数字信号处理系统设计 摘要:针对高速实时数字信号处理系统设计要求,本文提出并设计了基于dsp+fpga结构的高速数字信号处理系统,采用ti公司目前单片处理能力最强的定点dsp芯片tms320c6455为系统主处理器,fpga作为协处理器。详细论述了dsp外围接口电路的应用和设计,系统设计电路简洁、实现方便,可靠性强。 关键词:tms320c6455 fpga 数字信号处理系统设计 design of high-speed digital signal processing system based on tms320c6455 cao jingzhi,he fei,li qiang,ren hui,qin wei (department of tool development,china petroleum logging co.,ltd shaan xi xi’an 710077) abstract:according to the design needs of high-speed real-time digital signal processing system.the paper puts forward a design of high-speed digital signal processing system based on dsp+fpga structure,adopting ti company fixed-point dsp chip tms320c6455,the currently strongest capacity monolithic processor,for system main processor,and fpga as coprocessor.this paper describs the application and design of dsp periphery circuit interface in detail.the system design has simple circuit and realize convenient, reliability.

《数字信号处理》第三版课后答案(完整版)

西安电子 ( 高西全丁美玉第三版 ) 数字信号处理课后答案 1.2 教材第一章习题解答 1. 用单位脉冲序列 (n) 及其加权和表示 题 1 图所示的序列。 解: x( n)(n 4) 2 (n 2) ( n 1) 2 (n)(n 1) 2 (n 2) 4 ( n 3) 0.5 (n 4) 2 (n 6) 2n 5, 4 n 1 2. 给定信号: x( n) 6,0 n 4 0, 其它 (1)画出 x( n) 序列的波形,标上各序列的值; (2)试用延迟单位脉冲序列及其加权和表示 x(n) 序列; (3)令 x 1( n) 2x(n 2) ,试画出 x 1( n) 波形; (4)令 x 2 (n) 2x(n 2) ,试画出 x 2 (n) 波形; (5)令 x 3 (n) 2x(2 n) ,试画出 x 3 (n) 波形。 解: ( 1) x(n) 的波形如 题 2 解图(一) 所示。 ( 2) x(n)3 ( n 4) (n 3) (n 2) 3 ( n 1) 6 (n) 6 (n 1) 6 ( n 2) 6 (n 3) 6 (n 4) ( 3) x 1 (n) 的波形是 x(n) 的波形右移 2 位,在乘以 2,画出图形如 题 2 解图(二) 所示。 ( 4) x 2 (n) 的波形是 x(n) 的波形左移 2 位,在乘以 2,画出图形如 题 2 解图(三) 所示。 ( 5)画 x 3 (n) 时,先画 x(-n) 的波形,然后再右移 2 位, x 3 ( n) 波形如 题 2 解图(四) 所 示。 3. 判断下面的序列是否是周期的,若是周期的,确定其周期。 (1) x( n) Acos( 3 n ) ,A 是常数; 7 8 (2) x(n) j ( 1 n ) e 8 。 解:

数字信号处理第四章答案

第四章习题参考解答 4-1对于系统函数,试用一阶系统的级联形式,画出该系统可能实现的流图。 解: 4-2一线性时不变因果系统,其系统函数为 对应每种形式画出系统实现的信号流图。 (1)直接Ⅰ型。 (2)直接Ⅱ型。 (3)用一阶和二阶直接Ⅱ型的级联型。 (4)用一阶和二阶直接Ⅱ型的并联型。 解:

直接Ⅰ型 直接Ⅱ型 用一阶和二阶直接Ⅱ型的级联型 用一阶和二阶直接Ⅱ型的并联型

4-3已知模拟滤波器的传输函数,试用脉冲响应不变法将转换成数字传输函数。(设采样周期T=0.5) 解: 4-4若模拟滤波器的传输函数为,试用脉冲响应不变法将转换成数字传输函数。(设采样周期T=1) 解:

4-5用双线性变换法设计一个三阶的巴特沃滋数字低通滤波器,采样频率,截至频率。 解: , 4-6用双线性变换法设计一个三阶的巴特沃滋数字高通滤波器,采样频率,截至频率。 解: ,,归一化, 4-7用双线性变换法设计一个三阶的巴特沃滋数字带通滤波器,采样频率,上下边带截至频率分别为,。 解: ,

,, 4-8设计一个一阶数字低通滤波器,3dB截至频率为,将双线性变换应用于模拟巴特沃滋滤波器。 解: 一阶巴特沃滋, 4-9试用双线性变换法设计一低通数字滤波器,并满足:通带和阻带都是频率的单调下降函数,而且无起伏;频率在处的衰减为-3.01dB;在处的幅度衰减至少为15dB。 解: 设,则:, 通带:,即

阻带:,即 阶数: , 查表得二阶巴特沃滋滤波器得系统函数为 双线性变换实现数字低通滤波器 4-10一个数字系统的采样频率,已知该系统收到频率为100Hz的噪 声干扰,试设计一个陷波滤波器去除该噪声,要求3dB的边带频率为95Hz和105Hz,阻带衰减不小于14dB。 解: , 令

高速实时数字信号处理系统技术探析

高速实时数字信号处理系统技术探析  (毛二可院士 龙腾副教授)    高速实时数字信号处理(DSP)技术取得了飞速的发展,目前单片DSP芯片的速度已经可以达到每秒16亿次定点运算(1600MIPs到4800MIPs);最近TI宣布1GHz DSP已经准备投产。其高速度、可编程、小型化的特点将使信息处理技术进入一个新纪元。一个完整的高速实时数字信号处理系统包括多种功能模块,如DSP、ADC、DAC等等。本文的内容主要是分析高速实时数字信号处理系统的产生、特点、构成、以及系统设计中的一些问题,并对其中的主要功能模块分别进行了分析。  一、高速实时数字信号处理概述  1.信号处理的概念  信号处理的本质是信息的变换和提取,是将信息从各种噪声、干扰的环境中提取出来,并变换为一种便于为人或机器所使用的形式。从某种意义上说,信号处理类似于"沙里淘金"的过程:它并不能增加信息量(即不能增加金子的含量),但是可以把信息(即金子)从各种噪声、干扰的环境中(即散落在沙子中)提取出来,变换成可以利用的形式(如金条等等)。如果不进行这样的变换,信息虽然存在,但却是无法利用的;这正如散落在沙中的金子无法直接利用一样。  2.高速实时数字信号处理的产生  早期的信号处理主要是采用模拟的处理方法,包括运算放大电路、声表面波器件(SAW)以及电荷耦合器件(CCD)等等。例如运算放大电路通过不同的电阻组配可以实现算术运算,通过电阻、电容的组配可以实现滤波处理等等。模拟处理最大的问题是不灵活、不稳定。其不灵活体现在参数修改困难,需要采用多种阻值、容值的电阻、电容,并通过电子开关选通才能修改处理参数。其不稳定主要体现为对周围环境变化的敏感性,例如温度、电路噪声等都会造成处理结果的改变。  解决以上问题最好的方法就是采用数字信号处理技术。数字信号处理可以通过软件修改处理参数,因此具有很大的灵活性。由于数字电路采用了二值逻辑,因此只要环境温度、电路噪声的变化不造成电路逻辑的翻转,数字电路的工作都可以不受影响地完成,具有很好的稳定性。因此,数字信号处理已经成为信号处理技术的主流。  数字信号处理的主要缺点是处理量随处理精度、信息量的增加而成倍增长,解决这一问题的方法是研究高速运行的数字信号处理系统;这就是本文所探讨的主题:高速实时数字信号处理的理论与技术。 3.高速实时数字信号处理特点   高速实时数字信号处理的特点:  首先是高速度,其处理速度可以达到数百兆量级。

数字信号处理(程佩青)课后习题解答(4)

第四章 快速傅立叶变换 运算需要多少时间。 计算需要多少时间,用,问直拉点的,用它来计算每次复加速度为平均每次复乘需如果一台通用计算机的FFT DFT[x (n)]512s 5 s 50.1μμ 解: 解: ⑴ 直接计算: 复乘所需时间: 复加所需时间: ⑵用FFT 计算: 复乘所需时间: 复加所需时间: 运算一次完成。 点试用一个为了提高运算效率值求今需要从值的点实序列是两个已知IFFT N n y n x k Y k X DFT n y n x N k Y k X ,,)(),()(),(,)(),()(),(.2s N T N 01152.0 512log 105 log 105 2251262261=???=??=--s T T T s N N T 013824.0 002304.0 512log 512105.0 log 105.0 2126262=+=∴=???=???=--s T T T s N N T 441536.1 130816.0 )1512(512105.0 )1(105.0 21662=+=∴=-???=-???=--s N T 31072.1 512105 105 262 61=??=??=--

值的过程。 )(),(完成计算点)可用一次()()(综上所述,构造序列 )()()()(可得:)()()(再根据都是实序列, )(),(由原题可知:) ()()()(()()(性质: 又根据可得序列点作对取序列依据题意解 ]Im[ ]Re[ ][][ ][ ).()( )()()( )()();()( : :n y n x IFFT N k jY k X k Z n z n y n z n x n jy n x n z n y n x n jy n x k Y jIDFT k X IDFT k jY k X IDFT DFT n z IFFT N k Z k jY k X k Z k Y n y k X n x +===+=+=+=++=?? 。 输出倒位序顺序频率抽取采用输入自然输出自然数顺序序时间抽取采用输入倒位流图抽取法的按时间抽取法及按频率画出基时), ,,( 2,16.3FFT N -=

数字信号处理习题及答案

==============================绪论============================== 1. A/D 8bit 5V 00000000 0V 00000001 20mV 00000010 40mV 00011101 29mV ==================第一章 时域离散时间信号与系统================== 1. ①写出图示序列的表达式 答:3)1.5δ(n 2)2δ(n 1)δ(n 2δ(n)1)δ(n x(n)-+---+++= ②用δ(n) 表示y (n )={2,7,19,28,29,15} 2. ①求下列周期 )5 4sin( )8 sin( )4() 51 cos()3() 54sin()2() 8sin( )1(n n n n n π ππ π - ②判断下面的序列是否是周期的; 若是周期的, 确定其周期。 (1)A是常数 8ππn 73Acos x(n)??? ? ??-= (2) )8 1 (j e )(π-=n n x 解: (1) 因为ω= 73π, 所以314 π2=ω, 这是有理数, 因此是周期序列, 周期T =14。 (2) 因为ω= 81, 所以ω π2=16π, 这是无理数, 因此是非周期序列。

③序列)Acos(nw x(n)0?+=是周期序列的条件是是有理数2π/w 0。 3.加法 乘法 序列{2,3,2,1}与序列{2,3,5,2,1}相加为__{4,6,7,3,1}__,相乘为___{4,9,10,2} 。 移位 翻转:①已知x(n)波形,画出x(-n)的波形图。 ② 尺度变换:已知x(n)波形,画出x(2n)及x(n/2)波形图。 卷积和:①h(n)*求x(n),其他02 n 0n 3,h(n)其他03n 0n/2设x(n) 例、???≤≤-=???≤≤= }2 3 ,4,7,4,23{0,h(n)*答案:x(n)= ②已知x (n )={1,2,4,3},h (n )={2,3,5}, 求y (n )=x (n )*h (n ) x (m )={1,2,4,3},h (m )={2,3,5},则h (-m )={5,3,2}(Step1:翻转) 解得y (n )={2,7,19,28,29,15} ③(n)x *(n)x 3),求x(n)u(n u(n)x 2),2δ(n 1)3δ(n δ(n)2、已知x 2121=--=-+-+= }{1,4,6,5,2答案:x(n)= 4. 如果输入信号为 ,求下述系统的输出信号。

数字信号处理(英文版)课后习题答案4

(Partial) Solutions to Assignment 4 pp.81-82 Discrete Fourier Series (DFS) Discrete Fourier Transform (DFT) , k=0,1,...N-1 , n=0,1,...N-1 Discrete Time Fourier Transform (DTFT) is periodic with period=2πFourier Series (FS)

Fourier Transform (FT) ---------------------------------------------------- 2.1 Consider a sinusoidal signal Q2.1 Consider a sinusoidal signal that is sampled at a frequency s F =2 kHz a). Determine an expressoin for the sampled sequence , and determine its discrete time Fourier transform b) Determine c) Re-compute ()X from ()X F and verify that you obtain the same expression as in (a) a). ans: = where and

Using the formular: b) ans: where c). ans: Let be the sample function. The Fourier transform of is Using the relationship or

数字信号处理(俞一彪)课后答案4

第四章 4-1对于系统函数,试用一阶系统的级联形式,画出该系统可能实现的流图。 解: 4-2一线性时不变因果系统,其系统函数为 对应每种形式画出系统实现的信号流图。 (1)直接Ⅰ型。 (2)直接Ⅱ型。 (3)用一阶和二阶直接Ⅱ型的级联型。 (4)用一阶和二阶直接Ⅱ型的并联型。 解:

直接Ⅰ型 直接Ⅱ型 用一阶和二阶直接Ⅱ型的级联型 用一阶和二阶直接Ⅱ型的并联型

4-3已知模拟滤波器的传输函数,试用脉冲响应不变法将 转换成数字传输函数。(设采样周期T=0.5) 解: 4-4若模拟滤波器的传输函数为,试用脉冲响应不变法将转换成数字传输函数。(设采样周期T=1) 解:

4-5用双线性变换法设计一个三阶的巴特沃滋数字低通滤波器,采样频率 ,截至频率。 解: , 4-6用双线性变换法设计一个三阶的巴特沃滋数字高通滤波器,采样频率,截至频率。 解: ,,归一化, 4-7用双线性变换法设计一个三阶的巴特沃滋数字带通滤波器,采样频率 ,上下边带截至频率分别为,。 解: ,

,, 4-8设计一个一阶数字低通滤波器,3dB截至频率为,将双线性变换应用于模拟巴特沃滋滤波器。 解: 一阶巴特沃滋, 4-9试用双线性变换法设计一低通数字滤波器,并满足:通带和阻带都是频率的单调下降函数,而且无起伏;频率在处的衰减为-3.01dB;在处的幅度衰减至少为15dB。 解: 设,则:, 通带:,即

阻带:,即 阶数: , 查表得二阶巴特沃滋滤波器得系统函数为 双线性变换实现数字低通滤波器 4-10一个数字系统的采样频率,已知该系统收到频率为100Hz的噪 声干扰,试设计一个陷波滤波器去除该噪声,要求3dB的边带频率为95Hz和105Hz,阻带衰减不小于14dB。 解: , 令

数字信号处理课后答案 西安电子(高西全丁美玉第三版)

西安电子(高西全丁美玉第三版)数字信号处理课后答案 1.2 教材第一章习题解答 1. 用单位脉冲序列()n δ及其加权和表示题1图所示的序列。 解: ()(4)2(2)(1)2()(1)2(2)4(3) 0.5(4)2(6) x n n n n n n n n n n δδδδδδδδδ=+++-+++-+-+-+-+- 2. 给定信号:25,41()6,040,n n x n n +-≤≤-?? =≤≤?? ?其它 (1)画出()x n 序列的波形,标上各序列的值; (2)试用延迟单位脉冲序列及其加权和表示()x n 序列; (3)令1()2(2)x n x n =-,试画出1()x n 波形; (4)令2()2(2)x n x n =+,试画出2()x n 波形; (5)令3()2(2)x n x n =-,试画出3()x n 波形。 解: (1)x(n)的波形如题2解图(一)所示。 (2) ()3(4)(3)(2)3(1)6() 6(1)6(2)6(3)6(4) x n n n n n n n n n n δδδδδδδδδ=-+-+++++++-+-+-+- (3)1()x n 的波形是x(n)的波形右移2位,在乘以2,画出图形如

题2解图(二)所示。 (4)2()x n 的波形是x(n)的波形左移2位,在乘以2,画出图形如题2解图(三)所示。 (5)画3()x n 时,先画x(-n)的波形,然后再右移2位,3()x n 波形如题2解图(四)所示。 3. 判断下面的序列是否是周期的,若是周期的,确定其周期。 (1)3()cos()78 x n A n π π= -,A 是常数; (2)1 ()8 ()j n x n e π-=。 解: (1)3 214 , 73w w π π= = ,这是有理数,因此是周期序列,周期是T=14; (2)12,168w w π π ==,这是无理数,因此是非周期序列。 5. 设系统分别用下面的差分方程描述,()x n 与()y n 分别表示系统输入和输出,判断系统是否是线性非时变的。 (1)()()2(1)3(2)y n x n x n x n =+-+-; (3)0()()y n x n n =-,0n 为整常数; (5)2()()y n x n = ; (7)0 ()() n m y n x m ==∑ 。 解: (1)令:输入为0()x n n -,输出为 ' 000' 0000()()2(1)3(2) ()()2(1)3(2)() y n x n n x n n x n n y n n x n n x n n x n n y n =-+--+---=-+--+--= 故该系统是时不变系统。

数字信号处理米特拉第四版实验一答案

Name : SOLUTION Section : Laboratory Exercise 1 DISCRETE-TIME SIGNALS: TIME-DOMAIN REPRESENTATION 1.1 GENERATION OF SEQUENCES Project 1.1 Unit sample and unit step sequences A copy of Program P1_1 is given below. % Program P1_1 % Generation of a Unit Sample Sequence clf; % Generate a vector from -10 to 20 n = -10:20; % Generate the unit sample sequence u = [zeros(1,10) 1 zeros(1,20)]; % Plot the unit sample sequence stem(n,u); xlabel('Time index n');ylabel('Amplitude'); title('Unit Sample Sequence'); axis([-10 20 0 1.2]); Answers : Q1.1 The unit sample sequence u[n] generated by running Program P1_1 is shown below: Time index n A m p l i t u d e Unit Sample Sequence

Q1.2 The purpose of clf command is – clear the current figure The purpose of axis command is – control axis scaling and appearance The purpose of title command is – add a title to a graph or an axis and specify text properties The purpose of xlabel command is – add a label to the x-axis and specify text properties The purpose of ylabel command is – add a label to the y-axis and specify the text properties Q1.3 The modified Program P1_1 to generate a delayed unit sample sequence ud[n] with a delay of 11 samples is given below along with the sequence generated by running this program . % Program P1_1, MODIFIED for Q1.3 % Generation of a DELAYED Unit Sample Sequence clf; % Generate a vector from -10 to 20 n = -10:20; % Generate the DELAYED unit sample sequence u = [zeros(1,21) 1 zeros(1,9)]; % Plot the DELAYED unit sample sequence stem(n,u); xlabel('Time index n');ylabel('Amplitude'); title('DELAYED Unit Sample Sequence'); axis([-10 20 0 1.2]); Time index n A m p l i t u d e DELAYED Unit Sample Sequence

相关文档
相关文档 最新文档