文档库 最新最全的文档下载
当前位置:文档库 › 基于DDS的AD9833高性能正弦波恒流源设计

基于DDS的AD9833高性能正弦波恒流源设计

基于DDS的AD9833高性能正弦波恒流源设计
基于DDS的AD9833高性能正弦波恒流源设计

技术创新

中文核心期刊《微计算机信息》(嵌入式与SOC)2007年第23卷第12-2期

360元/年邮局订阅号:82-946

《现场总线技术应用200例》

电子设计

基于DDS的AD9833高性能正弦波恒流源设计

DesignofAHighPerformanceSineWaveCurrentSourceBasedonDDSChipAD9833

(1.湖南铁路科技职业技术学院;2.云南昆明联诚科技有限公司)方忠民

拜继颂

FANGZHONGMINBAIJISONG

摘要:本文设计了一种基于DDS芯片AD9833的高性能正弦扫频式恒流源。正弦波信号源采用了新型DDS芯片AD9833,并利用滤波芯片LTC1560-1进行低通滤波;V/I转换电路采用了改进型Howland电流泵,从而使该新型恒流源具有更好的频谱纯度和幅值稳定度,更高的输出阻抗和电压柔量。该恒流源在实际使用中取得了很好的效果。关键词:正弦波恒流源;DDS;AD9833;Howland电流泵中图分类号:TP346文献标识码:A

Abstract:Thispaperintroducesahighperformancesinewavecurrentsource(SSCS)basedonDDSchipAD9833.Thesinewavegenera-toroftheSSCSisbasedonDDSchipAD9833andthelow-passfilter(LPF)chipLTC1560-1isadoptedforreducingnoises.Thevolt-age-currentconversionunitisbasedontheimprovedHowlandcurrentpump.Thenewly-designedSSCSpossessesbetterfrequencypurityandmagnitudestability,higheroutputimpedanceandvoltagecompliance.PracticalapplicationoftheSSCSprovesitsadvantages.Keywords:SineWaveCurrentSource,DDS,AD9833,HowlandCurrentPump

文章编号:1008-0570(2007)12-2-0300-02

1引言

在生物组织复阻抗频谱特性测量中,为了得到多种频率下电压与电流的关系,通常是借助置于体表的电极系统向被测体注入一微小的正弦交流恒流源,检测被测体上相应的电压变化情况。由于生物组织的特殊性,用于生物组织复阻抗频谱特性测量的恒流源除了要能提供频率稳定的驱动电流外,还要求恒流源的频率转换时间非常短,以利于快速扫频测量;另外还由于引入生物组织的电流其幅值本身就很小,很小的偏差就会对测量结果造成相当大的影响,因而恒流源必须能提供幅值稳定的交变电流;此外,恒流源的输出电流不应负载阻抗的变化而出现大的波动,这就要求恒流源具有很高的输出阻抗。因此,恒流源信号质量是影响系统性能的主要因素之一。为满足在生物复阻抗频谱测量实验系统的需要,本文设计了一种正弦扫频式恒流源,它具有扫频范围宽,波形失真小、输出阻抗高、电路结构简单的特点。

2系统原理及组成

本文设计的恒流源基于直接数字合成(DDS)技术,由用于生成频率可调的正弦波信号发生器和电压控制电流源(VCCS)两部分组成,其中波形发生器基于DDS芯片AD9833,VCCS是基于改进的Howland电流泵电路。恒流源的基本结构如图

1所示。

图1中,单片机系统通过SPI总线将控制字写入DDS芯片

AD9833,使AD9833输出预期频率的正弦波信号VI,VI经低通滤波器(LPF)后得到纯净的正弦波信号VO。VO经改进的How-land电流泵电路进行V-I变换后,最终输出具有极高输出阻抗的正弦恒流源信号IO。单片机也可在系统闲置时通过控制引脚关闭有源晶振和LPF的电源,达到降低功耗的目的。本文以下

部分将分别详细介绍正弦波发生器和电压控制电流源的具体设计细节。

图1恒流源基本结构图

3正弦波发生器

3.1AD9833

正弦波发生电路采用直接数字频率合成器(DDS)产生不同频率、幅度恒定的正弦电压信号,具体芯片型号为AD9833。

AD9833是AD公司生产的一款易编程、低功耗的波形发生器。

它主要有DDS单元、10位的D/A和串行接口组成,能输出正弦波、方波、三角波3种波形。AD9833的操作也非常简单,它拥有3线SPI接口,该芯片采用10个管脚的μSOIC封装,功率只有20mW。正弦波发生电路如图2所示。

图2基于AD9833的正弦波发生电路

图2中,AD9833的输出频率fOUT由下式计算:

(1)

其中fCODE表示频率控制字,理论上其值可以从1~228-1,由单片机通过SPI接口写入;fMCLK表示时钟频率,在本设计中fMCLK=

16×106。DDS的最大输出频率由Nyquist采样定理决定,即为

方忠民:高级工程师硕士教师

300-

邮局订阅号:82-946360元/年技术创新

电子设计

《PLC技术应用200例》

您的论文得到两院院士关注fMCLK/2。AD9833的频率控制字是28位,所以当采用时钟采用16MHz时,理论上最大输出频率为8MHz(实际输出大概是参考时钟的40%),输出频率分辨率可达0.06Hz。

图2中有源晶振U9在工作时功耗很大,因此在系统闲置

时应及时关闭,以降低系统功耗。图中的有源晶振的电源由晶体管Q2提供,Q2受来自单片机的引脚VOSC控制,当VOSC=1时,Q2关闭,有源晶振的电源被切断,达到了降耗的目的。

3.2低通滤波器(LPF)

DDS技术的原理是在ROM中存储一个周期的正弦曲线采

样点表,每一个存储单元存储的样点数据和地址之间的关系与正弦波的正弦幅值和时间轴的关系是一致的。这样,当按顺序逐单元读出ROM的样点数据时,就能得到量化了的正弦曲线,若周期的重复这一过程,并将数字量经D/A转换与平滑滤波后输出,从而得到连续的正弦波信号。但是,一个周期的样点数据个数和D/A转换器的量化误差都会影响输出的正弦波的质量,尤其是在频率很高时,低失真度的正弦信号很难获得。因此,

DDS输出的模拟信号必须经过低通滤波器以滤除附加在较低

频率信号上的高频数字伪信号。

本设计中的LPF采用了凌特公司(LinearTechnology)的

1MHz/500kHz五阶连续时间低噪声低通椭圆滤波器LTC1560-1,LTC1560-1不需要外部元件即可构成高性能的截止频率fC为500kHz或1MHz的LPF,当引脚5接V+时,fC=500kHz,引脚5接V-时,fC=1MHz。LTC1560-1用±5V电源供电,并具有电源关闭方式(通过引脚7控制)。本文设计的截止频率为1MHz的椭圆低通滤波器电路如图3所示。

图3基于LTC1560-1的低通滤波器电路

图3中LTC1560-1的输入信号VI即AD9833的输出,滤波后的输出信号从第8脚输出至单增益的输出缓冲器U3。空闲时,单片机可通过引脚控制SHDN=1,使LTC1560-1进入电源关闭方式。

4电压控制电流源(VCCS)

4.1Howland电流泵

Howland电流泵原理电路如图4所示。图4中,当满足平衡

条件

(2)

时,负载电流IO可表示为

(3)

此时电流泵的输出阻抗RO=∞,电压柔量(voltagecompli-

ance,即输出电压的可摆动范围)|VL|≤|Vsat|-R2B(IO+I1)。

图4Howland电流泵原理电路4.2基于Howland电流泵的VCCS

基于Howland电流泵的VCCS如图5所示,它将正弦波发生电路产生的正弦电压信号转换为恒定的正弦电流信号。

图5基于Howland电流泵的VCCS

图5中,当满足平衡条件

(4)

时,负载电流IO仍维持公式(3)的关系,输出阻抗RO=∞。图

5所示VCCS一方面使R2B从公式(2)的平衡条件制约中解脱出来,仅仅调节R2B即可改变输出电流IO,也不会破坏电路的平衡条件;另一方面也使VCCS输出电压VL的电压柔量比图4的Howland电流泵的电压柔量更宽,此时的电压柔量|VL|≤|Vsat|-R2BIO,原因是图5中运放A2、A3的加入使得电阻R2B流过的电流仅仅是输出电流IO。

5结论

本文设计的正弦扫频式恒流源采用DDS芯片AD9833,频率设置快速方便灵活;新型滤波芯片LTC1560-1使得正弦信号具有更好的频谱纯度和幅值稳定度;改进的Howland电流泵使得电压控制电流源具备更高的输出电压柔量;低功耗芯片的选择和电源关闭方式的使用使得整个恒流源系统的功耗更低,特别适用于便携式的多频阻抗测量系统。本恒流源已使用于便携式生物阻抗频谱测量仪,实现了阻抗的快速准确测量。

本文作者创新点:采用新型小体积、低功耗DDS芯片

AD9833作为正弦波信号源,经LTC1560-1进行低通滤波,获得

更好的频谱纯度和幅值稳定度;改进型Howland电流泵电路将

电压源信号转换为恒流源信号,从而使该新型恒流源具有更高的输出阻抗和电压柔量;电源控制电路大幅提升恒流源整体低功耗性能。

参考文献

[1]任少华,

王勇.基于DDS芯片AD9835的多功能调制模块设计[J].微计算机信息,2005,9-2:159-160.

[2]刘红兵,陈钟荣.CPLD+DDS在调频连续波雷达中的应用[

J].微计算机信息,2006,8-2:191-193.

[3]AlexanderSRoss,etal.,Currentsourcedesignforelectricalimpedancetomography.PhysiologicalMeasurement,2003,24(2):509-516.

[4]AnalogDevicesInc,AD9833Datasheet.www.analog.com,2003.[5]LinearTechnologyCorporation,LTC1560-1DataSheet.LinearTechnologyCorporation,1997.

[6]刘树棠,

朱茂林,荣玫(译).基于运算放大器和模拟集成电路的电路设计[M].西安:

西安交通大学出版社,2004.作者简介:方忠民(1968-):男,湖南湘潭人,汉族,高级工程师,

获电气自动化专业硕士学位,湖南铁路科技职业技术学院教师,主要研究方向:电气自动化与管理;拜继颂(1974-):男,云南保山人,白族,电气自动化本科毕业,云南昆明联诚科技有限公司

电气工程师。

(下转第312页)

301-

技术创新

中文核心期刊《微计算机信息》(嵌入式与SOC)2007年第23卷第12-2期

360元/年邮局订阅号:82-946

《现场总线技术应用200例》

电子设计

由计数值N直接确定距离S(S=0.01N)。

当然,这里的参数设定并不唯一,可根据测程和精度等方面的要求而定,必要时可采用两把尺,即高低两种调制频率。应该指出的是,激光相位测距的鉴相精度与所选差频有关,在填充脉冲频率一定的情况下,差频越低鉴相精度越高,但测频则相应减小;在差频一定的情况下,填充脉冲频率越高则鉴相精度越高,本设计正是利用CPLD的高频特性以提高鉴相精度的。

3基于CPLD的数字鉴相器的设计

基于CPLD的数字鉴相电路组成如图3所示(图中只画出一位计数器,实际为8位)。其基本模块为鉴相(JIANXIANG)、锁存(REG32B)、锁存脉冲形成(LOCKP)、十进制计数器(CNT10)、译码器(DELED)等。clk1、clk2经JIANXIANG模块获得脉冲信号

clk,其脉冲宽度代表clk1、clk2的相位差,将clk送往CNT10模

块作为门控信号,使CNT10对填充脉冲fryin计数,计数结果经REG32B和SELTIME后送往DELED模块进行译码,最终由数码管动态显示计数值N。

图3数字鉴相器基本组成

fig.3schematicdiagramofdigitalphasedetector

4调试结果

本鉴相器已试验通过。图4、图5分别给出鉴相模块和锁存脉冲模块的仿真波形。实验表明,本设计具有鉴相精度高、结构简单灵活、调试方便等优点,尤其是其工作频率高达百兆量级,适合于对高速动态目标的实时测量和监控。

图4鉴相模块的仿真波形

fig.4Simulatingwaveformsofphasedetectingblock

本文作者创新点:采用CPLD设计数字鉴相器,提高了鉴相

精度,同时亦有利于对高速动态目标的测距和定位。

图5锁存脉冲模块的仿真波形

fig.5Simulatingwaveformsoflockingblock

参考文献:

[1]M.C.Amann,T.Bosch,M.Lescure,R.Myllyl,M.Rioux,Laserranging:acriticalreviewofusualtechniquesfordistancemeasurement,OpticalEngineering40(1)1-0(2001)1–10.

[2]贺安之阎大鹏现代传感器原理与应用[M]北京:宇航出版社,1993

[3]黄康娄国伟等CPLD模块在毫米波雷达测距中的应用研究[J]现代雷达,2003,5

[4]张鹏张有志一种新型超声测距系统[J]山东大学学报(工学版)2003,2

[5]黄正瑾徐坚等系统设计技术入门与应用[M]北京:电子工业出版社,2002

[6]侯伯亨顾新等VHDL硬件描述语言与数字逻辑电路设计[M]西安:西安电子科技大学出版社,1997

[7]李贵新袁嗣杰基于CPLD的雷达高度表数据采集系统设计[J]微计算机信息,2006,1-2

作者简介:林其斌(1966-),男(汉族),安徽滁州人,安徽滁州学院

副教授,主要从事光电技术等方面的教学和研究工作。

Biography:Lin,qibin(1966-),male(han),Anhui,Chuzhouuniversity,associateprofessor,Nowengagedinphotoelectricresearch.

(239012安徽省滁州学院电子信息工程系)林其斌

(610031安徽省西南交通大学电信科学与技术学院)陈向东(Dept.ofElectronicsInformationEngineering,ChuzhouUniversity,Chuzhou,Anhui239012)LinQiBin

(ShoolofInformationScienceandTechnology,SouthwestJiaotongUniversity610031)ChenXiangDong

通讯地址:(239012安徽省滁州学院电子信息工程系)林其斌

(收稿日期:2007.9.13)(修稿日期:2007.11.15)

(上接第301页)

Biography:FangZhongming,male,wasborninXiangtaninHu-nanprovincein1968,theHanNationality,HunanRailwayCollege0fScienceandTechnology,SeniorengineerwithMaster’sdegreeofelectricalautomation.Researchdirection:electricalautomationandmanagement;BaiJisong,male,wasborninBaoshaninYunnanprovincein1974,theBaiNationality,electricalengineerofKun-mingunionsciencetechnologyCo.LTD,Kunming.(412000

湖南

湖南铁路科技职业技术学院)方忠民

(650051云南昆明联诚科技有限公司)拜继颂

(HunanRailwayCollege0fScienceandTechnology,Zhuzhou,412000,China)FangZhongming(KunmingunionsciencetechnologyCo.

LTD,Kunming,

650051,China)BaiJisong

通讯地址:(412000湖南湖南铁路科技职业技术学院电气系)方忠民

(收稿日期:2007.9.23)(修稿日期:2007.11.15)

a:

312-

基于FPGA的DDS设计

一、实验名称:基于F P G A的D D S信号源设计 二、技术规范: 1.实验目标: 设计一个直接数字频率合成(DDS,Direct Digital Synthesis),DDS是一种新型的频率合成技术。DDS 技术是一种把一系列数字形式的信号通过DAC 转换成模拟信号的合成技术。 DDS 技术具有频率切换时间短,频率分辨率高,频率稳定度高,输出信号的频率和相位可以快速切换,输出相位可连续,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数字控制。它在相对带宽、频率转换时间、相位连续性、高分辨率以及集成化等一系列性能指标方面远远超过了传统频率合成技术。 因此在现代电子系统及设备的频率源设计中,尤其在通信领域,直接数字频率合成器的应用越来越广泛。 2.实现功能: 本实验最后将设计出一个具有频率控制和相位移控制功能的DDS。 3.引脚: 本实验有三个输入端口,8位的频率控制字端口,分别接8个开关按键,8位的相位控制字端口,分别接另外的8个开关按键,系统时钟输入端口;一个8位输出端口,接D/A的输入端口。FPGA板上的时钟频率为50MHz,本实验将其10分频后得到5MHz再使用。 三.总体设计方案; 原理: 实验采用目前使用最广泛的一种DDS 方式是利用高速存储器作查找表,然后通过高速DAC 输出已经用数字形式存储的波形。 图1:DDS 系统的基本原理图 图1中虚方框部分是DDS 的核心单元,它可以采用CPLD/FPGA 来实现。图中的相位累加器由N位全加器和N位累加寄存器级联而成,可对频率控制字的二进制码进行累加运算,是典型的反馈电路。 频率控制字M和相位控制字分别控制DDS 输出正(余)弦波的频率和相位。每来一个时钟脉冲,相位寄存器以步长M递增。相位寄存器的输出与相位控制字相加,其结果作为正(余)弦查找表的地址。正(余)弦查找表的数据存放在ROM中,内部存有一个周期的正弦波信号的数字幅度信息,每个查找表的地址对应于正弦波中0°~360°范围内的一个相位点。查找表把输入的址信息映射成正(余)弦波的数字幅度信号,同时输出到数模转换器DAC 的输入端,DAC输出的模拟信号经过低通滤波器(LPF),可得到一个频谱纯净的正(余)弦波。 DDS 具体工作过程如下:每来一个时钟脉冲clk,N 位全加器将频率控制数据M 与累加寄存器输出的累加相位数据N 相加,把相加后的结果送至累加寄存器的输入端。累加寄存器一方面将上一时钟周期作用后所产生的新的数据反馈到加法器的输入端,以使加法器在下一时钟的作用下继续与频率控制数据M 相加;另一方

DDS实验报告

南京理工大学电类综合实验 实验报告 作者: 徐伟伟学号:314101002254 学院(系):机械工程 专业: 机械制造及其自动化 题目: 直接数字频率合成器(DDS) 指导老师:花汉兵 2015年6月

摘要:本文介绍了直接数字式频率合成器(DDS)的设计以及其附加功能的拓展,主要包括了频率控制、加法电路、相位控制、测频电路、译码显示、输出多种波形(包括正余弦、三角波、锯齿波、方波梯形波)、D/A转换等模块。文中详细说明了实验原理,并用Quartus II 软件对各模块进行电路设计,最后在SmartSOPC 实验箱上演示得到了预期的实验结果。 关键词:DDS 实验原理电路设计Quartus II SmartSOPC Abstract:This paper introduces the design of Direct Digital Frequency Synthesizer (DDS) and its additional function, mainly including the frequency control, add circuit, the phase control, frequency measuring circuit, decoding display and the output of a variety of waveform (including cosine, triangular wave, sawtooth wave and square wave), D/A conversion etc. In this paper, the experimental principle is described in detail, and the circuits of each module are designed with the use of Quartus II software. Finally, the desired results are presented on the SmartSOPC experiment box. Key words: DDS, experiment principle, circuit design, Quartus II, SmartSOPC

DAC0832的波形发生器(汇编)

ORG 0000H KB:MOV P1,#0FFH;置P0口为输入方式MOV A,P1;读键值 CPL A ANL A,#1FH;屏蔽高三位 JZ KB;无键闭合继续检测 ACALL DL Y_10MS;延时10ms,去抖动MOV A,P1;再次检测有无键闭合 CPL A ANL A,#1FH JZ KB CJNE A,#01H,KB01 LCALL FANGBO;调用方波子程序SJMP KB KB01:CJNE A,#02H,KB02 LCALL JVCHI;调用锯齿波子程序SJMP KB KB02:CJNE A,#04H,KB03 LCALL TIXING;调用梯形波子程序SJMP KB KB03:CJNE A,#08H,KB04 LCALL SANJIAO;调用三角波子程序SJMP KB KB04:CJNE A,#10H,KB LCALL ZHENGXIAN;调用正弦波子程序SJMP KB ;方波子程序////////////// FANGBO: MOV DPTR,#0FFFEH LP1: MOV A,0 MOVX @DPTR,A LCALL DELAY1 MOV A,#0FFH MOVX @DPTR,A LCALL DELAY1 AJMP LP1 ;锯齿波子程序///////////// JVCHI: MOV DPTR,#0FFFEH MOV A,#0FFH WW:MOVX @DPTR,A DEC A NOP

NOP NOP AJMP WW ;梯形波子程序 TIXING: MOV DPTR,#0FFFEH MOV R2,#07DH MOV R4,#0AFH MOV A,#00H D1:MOVX @DPTR,A LCALL DELAY2 ADD A,R2 DJNZ R4,D1 AJMP D1 ;三角波子程序/////////////// SANJIAO: MOV DPTR,#0FFFEH MOV R6,#10H MOV A,#00H LOOP1: MOVX @DPTR,A ADD A,R6 CJNE A,#0FFH,LOOP1 LOOP2: MOVX @DPTR,A SUBB A,R6 CJNE A,#07H,LOOP2 AJMP LOOP1 ;正弦波子程序///////////////// ZHENGXIAN: MOV R1,#00H QZ:MOV A,R1 MOV DPTR,#SETTAB MOVC A,@A+DPTR MOV DPTR,#0FFFEH MOVX @DPTR,A INC R1 AJMP QZ ;延时程序2 DELAY2:MOV 31H,#02H PW:DJNZ 31H,PW RET ;延时子程序1 DELAY1: MOV 30H,#0FFH

DDS信号发生器电路设计

1. 信号产生部分 1.1 频率控制字输入模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity ddsinput is port(a,b,c,clk,clr:in std_logic; q1,q2,q3,q4,q5:buffer unsigned(3 downto 0)); end ddsinput; architecture a of ddsinput is signal q:std_logic_vector(2 downto 0); begin q<=c&b&a; process(cp,q,clr) begin if clr='1'then q1<="0000";q2<="0000";q3<="0000";q4<="0000";q5<="0000"; elsif clk 'event and clk='1'then

DDS信号信号发生器电路设计 case q is when"001"=>q1<=q1+1; when"010"=>q2<=q2+1; when"011"=>q3<=q3+1; when"100"=>q4<=q4+1; when"101"=>q5<=q5+1; when others=>NULL; end case; end if; end process; end a; 1.2 相位累加器模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity xiangwei is port(m:in std_logic_vector(19 downto 0); clk,clr:in std_logic; data:out std_logic_vector(23 downto 0)); end xiangwei; architecture a of xiangwei is signal q:std_logic_vector(23 downto 0); begin process(clr,clk,m,q) begin if clr='1'then q<="000000000000000000000000"; elsif (clk'event and clk='1')then q<=q+m; end if; data<=q; end process; end a;

机械设计实验报告

前言 一、实验课目的 本课程实验课目的在于:验证、巩固和加深课堂讲授的基本理论,加强理论联系实际及独立工作能力的培养;掌握一些最基本的机械实验方法、测量技能及用实验法来测定一些机械参数的能力;以及培养学生踏实细致、严肃认真的科学作风。因此,实验课是一个不可缺少的重要环节,每个学生必须认真对待,在课前进行预习,在课后分析试验结果,写成正规的实验报告。实验课为评定学生成绩的一部分。 二、实验前的准备工作 为了保证实验顺利进行,要求在实验前做好准备工作,教师在实验前要进行检查和提问,如发现有不合格者,提出批评,甚至停止实验的进行,实验准备工作包括下列几方面内容: 1.预习好实验指导书:明确实验的目的及要求;搞懂实验的原理;了解实验进行的步骤及主要事项,做到心中有底。 2.准备好实验指导书中规定自带的工具、纸张。 3.准备好实验数据记录表格。表格应记录些什么数据自拟。 三、遵守实验室的规章制度 1.验前必须了解实验设备、仪器的使用性能、操作规程及使用须知,否则不得操作。 2.严格按照规定,精心操作设备、仪器。 3.实验室内与本实验无关的设备与仪器,一律不得乱动。 4.在实验室严守纪律,不得高声谈笑,保持室内整洁。 5.实验完毕后,用过设备、仪器放回原处,并整理清洁、经教师同意后才得离开。 四、实验报告 实验报告是对实验所有数据、现象进行整理,分析得出一定结论与看法的书面文件。学生在实验后必须按照要求,整理并分析处理所的结果,写成正规的实验报告。 为了写好实验报告,提出以下几点: 1.实验结果记录应经实验指导教师过目签字,并随实验报告一起交上。 2.报告中的结果分析及讨论应力求具体,应针对试验具体情况,防止不切实际的空谈。 3.实验报告要求每人一份。 4.实验报告应在实验完毕后一星期内,由班委汇集交老师。 吉林大学珠海学院 机械工程学院 2018年9月10日

dds实验报告

南京理工大学 电子线路课程设计 --------直接数字频率合成器 学生姓名:林晓峰学号:912104220143 专业:通信工程 指导教师:谭雪琴 2014年12月18日

摘要: 本次实验利用QuartusII7.0软件并采用DDS技术、FPGA芯片和D/A转换器,设计了一个直接数字频率信号合成器,具有频率控制、相位控制、测频、显示多种波形等功能。 并利用QuartusII7.0软件对电路进行了详细的仿真,同时通过SMART SOPC实验箱和示波器对电路的实验结果进行验证。Abstract: This experient introduces using QuartusII7.0software, DDS technology,FPGA chip and D/A converter to design a multi—output waveform signal generator in which the frequency and phase are controllable and test frequency,display waveform. It also make the use of software QuartusII7.0 a detailed circuit simulation, and verify the circuit experimental results through SMART SOPC experiment box and the oscilloscope. 关键词:QuartusII7.0 数字频率信号合成器频率控制相位控制测频示波器 SMART SOPC实验箱 Keywords:QuartusII7.0 multi—output waveform signal- generator frequency controllable phase controllable test frequency oscilloscope Smart SOPC box

单片机控制dac0832输出正弦波三角波汇编程序

单片机控制DAC0832输出正弦波三角波汇 编程序 org 0000h LJMP MAIN ORG 0003H LJMP L0 MAIN:MOV R2,#0aH ;调幅倍数 MOV R4,#01H ;增减选择 MOV R5,#01H pp: SETB EA SETB EX0 ;延时计数个数 MOV A,#0FFH ;读取波形状态 MOV P1,A MOV A,P1 JNB ACC.0,ZXB ;P1.0=0 则选择正弦波 JNB ACC.1,SJB ;P1.1=0 则选择三角波 JNB ACC.2,FB ;P1.2=0 则选择方波 AJMP PP ZXB:MOV R1,#00H .

LOOP1:MOV A,R1 MOV DPTR,#TABLE1 ;读取正弦波数据首地址 MOVC A,A+DPTR ;去表格数据 MOV B,R2 MUL AB ;幅度大小 INC R1 XCH A,R1 CLR C SUBB A,#0AH ;是否已采样半个周期 JNC LK1 ;C=0跳转,即R1大于0A,以采样半个周期以上 ADD A,#0AH XCH A,R1 ;还原R1 ADD A,#80H ;8OH为零点,取得正的幅度值 AJMP LK0 LK1:ADD A,#0AH XCH A,R1 MOV R3,A MOV A,#80H CLR C .

SUBB A,R3 ;80H为零点,取得负的幅度值LK0:MOV DPTR,#8000H ;送DA转换入口地址 MOVX DPTR,A MOV A,R5 KD:DEC R5 ;调用延时 CALL DELAY CJNE R5,#00H,KD MOV R5,A CJNE R1,#12H,LOOP1 LJMP PP FB:MOV R1,#00H LOOP2:MOV A,R1 MOV DPTR,#TABLE2 ;读取方波数据首地址 MOVC A,A+DPTR ;去表格数据 MOV B,R2 MUL AB ;幅度大小 INC R1 XCH A,R1 CLR C SUBB A,#0AH ;是否已采样半个周期 .

典型机构认知实验报告

竭诚为您提供优质文档/双击可除典型机构认知实验报告 篇一:实验一典型机构认识与分析实验 实验九凸轮机构运动分析实验 一、实验目的: 1、熟悉掌握理论与实践相结合的学习方式; 2、培养动手能力和创新意识,培养对现代虚拟设计和现代测试手段的灵活运用能力; 3、通过实测和软件仿真了解不同运动规律的盘形凸轮的运动,了解圆柱凸轮的运动; 4、掌握凸轮廓线的测试方法; 5、通过实测曲线和仿真曲线的对比,分析两者之间差异的原因。 二、JTJs-Ⅲ实验台简介: 1、结构组成 1-安装底座2-凸轮支座3-同步带轮4-同步带5-电机支座6-步进电机 7-齿轮齿条支座8-尖顶从动件9-导轨10-被测凸轮

(盘形)11-圆柱凸轮 12-轴承座13-齿条14-小齿轮15-齿轮支架16-角位移传感器 图1JTJs-Ⅲ实验台结构组成 2、主要技术参数 1)凸轮原始参数: 盘形凸轮机构 1#凸轮:等速运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、远休止角Фs=60o、回程转角Ф,=1620; 凸轮质量m1=0.765㎏。 2#凸轮:等加速等减速运动规律 凸轮基圆半径ro=40mm;尖顶从动件。 推杆升程h=15mm; 推程转角Ф=180o、回程转角Ф,=180o; 凸轮质量m1=0.765㎏。 3#凸轮:3-4-5多项式运动规律 凸轮基圆半径ro=40mm;从动件滚子半径rt=7.5mm; 推杆升程h=15mm; 推程转角Ф=180o、回程转角Ф,=180o;

凸轮质量m1=0.852㎏。 4#凸轮:余弦加速度运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=180o、回程转角Ф,=180o;凸轮质量m1=0.768㎏。 5#凸轮:正弦加速度运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、远休止角Фs=30o、 回程转角Ф,=150o、近休止角Ф,s=30o;凸轮质量m1=0.768㎏。 6#凸轮:改进等速运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、远休止角Фs=30o、 回程转角Ф,=150o、近休止角Ф,s=30o;凸轮质量m1=0.768㎏。 7#凸轮:改进正弦运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、

DDS报告

南京理工大学 电子线路设计 ——直接数字频率合成器(DDS)设计 实验报告 作者: 沈爱松学号:912104220234 学院(系):电子工程与光电技术学院 专业: 电子信息工程 实验日期: 11月21—11月28日 2014 年 11 月

摘要 本篇报告主要介绍了用EDA设计完成直接数字频率合成器DDS的过程。该直接数字频率合成器输出的频率及相位均可控制,且能输出正弦、余弦、三角波、锯齿波、方波五种波形,经过转换之后还能在示波器上显示,在控制电路的作用下能实现保持、清零功能,另外还能同时显示输出频率、相位控制字、频率控制字。设计中充分考虑了正余弦函数的特点进行了优化设计,提高了计算精度。并且加入了频率计功能。本设计利用QuartusII 软件进行DDS的设计,最后下载到SmartSOPC实验系统中进行硬件测试。 关键词 QuartusⅡ DDS ROM 测频 AM调制 Abstract This report introduces the EDA design is completed with Direct Digital Synthesis DDS process. The direct digital frequency synthesis of the output frequency and phase can control, and can output sine, cosine, triangle wave, sawtooth, square waveform five, after conversion after also displayed on the oscilloscope, in the role of the control circuit can be Implementation maintained cleared function, and also shows the output frequency, phase control characters, frequency control word.A brand-new algorithm was brought forward considering the symmetry characteristic of sine function, and then applied to optimizing the system which result in the improvement on calculation precision.Already designed according to the audio, will produce A spurious signal and carrier signal AM modulation This design uses DDS QuartusII software design, the final download SmartSOPC experimental system hardware testing. Keywords QuartusⅡ DDS ROM frequencu measuring AM modulation 目录

微机原理及其应用报告数模转换器DAC0832双缓冲输出设计

本科生实验报告 实验名称:数模转换器DAC0832双缓冲输出设计 一、实验目的 1)了解DAC0832芯片引脚、内部结构及工作原理; 2)掌握应用单片机I/O 端口控制DAC0832实现数模转换的方法; 3)掌握DAC0832单缓冲和双缓冲控制技术及编程设计方法; 二、实验原理 DAC0832是8位分辨率的数模转换集成芯片,内部采用倒T 形网络,电流型 输出模式,电流输出稳定时间为1us ,采用单电源供电。 片内部由一个8位输入锁存器、一个8位DAC 寄存器和一个8位D/A 转换器构成,内部具有双缓冲结构,可以实现单缓冲、双缓冲数字输入。 双缓冲同步控制方式 : 针对多个模拟量需要同时输出的控制系统,可以采用双缓冲同步控制方式。D/A 转换数据的输入锁存和D/A 转换输出分两步完成。首先,CPU 分时向各路D/A 转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,CPU 同时对所有D/A 转换器发出输入所存数据打入DAC 寄存器的控制信号,即可实现 VREF IOUT2 IOUT1 DGND VCC AGND RFB

多通道的同步模拟量数据输出。 应用双缓冲方式,可以在输出模拟信号的同时采集下一个数字量,有效地提高转换速度。另外,可以在多个D/A转换器同时工作时,利用双缓冲模式实现多路D/A的同步输出。 三、实验内容 通过单片机I/O端口控制两路DAC0832实现数模转换,控制方式采用双缓冲控制方式。 1.阅读理解双缓冲控制电路图,分析双缓冲模式下DAC0832与单片机接口电路的设计及两次DA转换实验在控制电路上的异同。 2.设计程序,实现双缓冲模式下DA转换的同步输出。 首先,CPU分时向各路D/A转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,通过按键控制,同时对两个DAC0832锁存数据进行数模转换,同步产生三角波、正弦波模拟输出信号。 四、实验过程 1,实验原理图 2,实验源程序 #include sbit DAC1_WR1=P2^0; sbit DAC2_WR1=P2^1; sbit DAC_SW1=P2^2; sbit DAC_SW2=P2^3;

详细设计方案_DDS

DDS详细设计方案 1.DDS简介: DDS同DSP(数字信号处理)一样,是一项关键的数字化技术。DDS 是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。 一块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 2.DDS规格: Xxx 3.实现原理 DDS的核心部分是相位累加器,相位累加器有一个累加器和相位寄存器组成,它的作用是再基准时钟源的作用下进行线性累加,当产生溢出时便完成一个周期,即DDS的一个频率周期。其中频率字的位宽为K位,作为累加器的一个输入,累加器的另一个输入端位宽为N位(N>K),每来一个时钟,频率字与累加器的另一个输入相加的结果存入相位寄存器,再反馈给累加器,这相当于每来一个时钟,相位寄存器的输出就累加一次,累加的时间间隔为频率字的时间,输入加法器的位宽为(N-K)位,它与同样宽度的相位控制字相加形成新的相位,并以此作为查找表的地址。每当累加器的值溢出一次,输入加法器的值就加一,相应的,作为查找表的地址就加一,而查找表的地址中保存波形的幅度值,这些离散的幅度值经DAC和PLF便课还原为模拟波形。

DDS信号发生器 实验报告

H a r b i n I n s t i t u t e o f T e c h n o l o g y EDA技术高级应用 实验报告 姓名:禾小鬼 同组人: 学号:16S 班级:信息2班 指导教师:xxx 院系:电信学院

实验一函数信号发生器 一、实验内容 实验内容包括下面两个方面 1.熟悉quartus ii开发环境 第一次接触quartus ii开发环境,首先可以通过新建一个工程熟悉quartus ii的各种基本操作。需要学习的包括以下几个方面:选器件,采用原理图方法画一个电路图实现某种功能,并对这个功能进行行为仿真以验证功能上的正确性。 2.设计一个函数信号发生器 在开始之前,首先要明确设计目的,我们的想要用电路图方法实现设计一个“函数信号发生器”。然后,可以先根据自己的思路想好一个电路图的设计方案,再开始实验。 二实验结果 1.第一步:建立一个新的工程 新建工程的过程中,最重要的是设置器件,不同的器件的设计之间并不兼容。会有一个综合的信息框,注明了我所做的设置,看看没问题就可以了。然后新建一个原理图文件schematic,作为顶层文件,将顶层文件命名为DDS在上面进行画图。 2.第二步:画电路图 本次实验采用软件自带的器件库MegaWizard Plug-in Manager中的器件。自定义3个ROM,并将ROM表中存储事先准备好的三种波形的数据文件,波形数据文件由matlab产生,ROM中存储8bit-32words的数据,包括一个时钟输入,一个5位地址输入和一个7位输出;还需要一个5位计数器,用以输出读取ROM 的地址;一个时钟控制整个电路工作; 我画的电路图,如图1所示。其原理为:三个ROM表存储三种波形数据,整个电路通过时钟控制,时钟每翻转一次,计数器加一,产生一个地址,输入到

dac0832函数信号发生器.

智能仪器课程设计 设计题目:函数信号发生器设计 学生姓名:赵鑫、罗承波、江再农 学院名称:机械工程学院 班级:测控技术与仪器062班 学号:200646000227、20064600222、20064600215 指导教师:袁锋伟、王玉林、蒋彦 2009年6月

设计任务: 设计一个函数信号发生器,具体指标如下: 1采用AT89S51及DAC0832设计函数信号发生器; 2输出函数信号为正弦波或三角波或阶梯波; 3输出信号频率为100Hz,幅度0-10V可调; 4必须具有信号输出及外接电源、公共地线接口

低频函数信号发生器的设计 摘要:信号发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。目前使用的信号发生器大部分是利用分立元件组成的体积大,可靠性差,准确度低。课程设计需要各个波形的基本输出,这些波形的实现的具体步骤:正弦波的实现是非常麻烦的。它的实现过程是通过定义一些数据,然后执行时直接输出定义的数据就可以了。而三角波,则每次累加1,当达到初值时,每次累减1,算出延时时间,也就达到要求了,阶梯波和三角波类似!该设计使用的是AT89S51单片机构成的发生器,可产生三角波、方波、正弦波等多种波形,波形的频率可用程序控制改变。在单片机上加外围器件独立式开关,通过开关控制波形的选择。在单片机的输出端口接DAC0832进行DA转换,再通过运放进行波形调整,最后输出波形接在示波器上显示。 关键词:信号发生器;单片机;波形调整

The design of low-frequency function signal-generator Abstract:Signal generator is a common signal source, widely used in electronic circuits, automatic control systems and experiments in areas such as teaching. Currently used by most of the signal generator is composed of discrete components use bulky, poor reliability, low accuracy. Curriculum design of the basic needs of all the output waveform, the waveform of the specific steps to achieve: the realization of sine wave is very troublesome. It is through the implementation of the definition of some data, and then direct the output when the definition of the implementation of the data on it. The triangle wave, then add 1 each time, when the initial value to reach every tired by 1, calculate the delay time, they meet the requirement, the ladder-wave and triangular wave similar! The design is composed of single-chip AT89S51 generator can produce the triangular wave, square wave, sine wave, etc.,

机构创新设计实验报告

机构创新设计实验报告 实验课程名称:机械原理 学院:机电学院 专业班级:10机械设计制造及其自动化 学号:101401010124 学生姓名:舒展 2012年6月28日机构创新设计实验报告

一、实验目的 1.通过实际机构的应用设计和搭接加深对不同机构运动特性的 理解; 2.通过对典型机构的组装,掌握活动连接、固定连接的结构和特 点;了解实际机构与机构简图的不同处,避免设计时出现运动 的干涉。 3.通过现场操作,培养实际动手和现场应变能力。 4.通过实验的多方案设计培养发散思维和创新设计能力。 二、实验设备 ZSB-C机构创新设计方案试验台。 三、实验原理 此机构由电动机输出动能,再由皮带动齿轮转动,然后由一个小齿轮和一个大齿轮组合,降低输出速度。 构造一个曲柄摇杆机构,实现将电机转动转变化摇杆传动的功能。 利用曲柄摇杆机构特性设计一个能实现刚体给定位置的机构。 最终实现机构运用原理设计要求。 四、备选方案分析和最终选型方案。 设计好曲柄摇杆机构,可选择设计起重机构、铸造造型机沙箱翻转机构、读数机构、轨迹生成机构及缝纫机踏板机构等。 最终先择实现起重功能的起重机构。 最终选择此方案有2个原因。 五、最终选型方案的分析及选择该方案的理由

(一)实验室设备条件及设备精度限制,不能设计出比较精准的机构,此机构相比读数机构、轨迹生成机构等设计难度低,精度要求低。(二)此机构功能容易得到实现,构造比较简单,利用实验室现有机构实验设备、实验构件,在实验室中能独立完成。 六、实际拼装的机构的机构运动简图 七、实际拼装机构的杆组拆分简图

八、此机构功能 (一)实现起重 可以将重物提升到一个平台上,如:装卸载货物、掉重、起重小轿车等 (二)拔起铸造模型 (三)运送物资 (四)升降台 九、问题及建议 1.此机构的由于组成比较简单,有一些地方的设计不够完美,还需 改善。 2.实验的设备太少了,有时候大家都在做实验的时候实验零件不够 用,这使得我们设计的机构不能完全的成功。 3.设备都生锈了,我建议多对设备进行维护。 4.我们实践动手能力还需要提高,希望学院多安排此类课题设计。 十、实验心得 通过这段时间的机械设计课程设计进一步巩固、加深和拓宽所学的知识;通过设计实践,树立了正确的设计思想,增强创新意思,熟悉掌握了机械设计的一般规律,也培养了分析和解决问题的能力;对自己进行了一个全面的机械设计基本技能的训练。 从开始的传动方案的拟定的总体设计中,让我清楚的了解了自己接下

DDS 直接数字频率合成器 实验报告(DOC)

直接数字频率合成器(DDS) 实验报告 课程名称电类综合实验 实验名称直接数字频率合成器设计 实验日期2015.6.1—2013.6.4 学生专业测试计量技术及仪器 学生学号114101002268 学生姓名陈静 实验室名称基础实验楼237 教师姓名花汉兵 成绩

摘要 直接数字频率合成器(Direct Digital Frequency Synthesizer 简称DDFS 或DDS)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。本篇报告主要介绍设计完成直接数字频率合成器DDS的过程。其输出频率及相位均可控制,且能输出正弦波、余弦波、方波、锯齿波等五种波形,经过转换后在示波器上显示。经控制能够实现保持、清零功能。除此之外,还能同时显示出频率控制字、相位控制字和输出频率的值。实验要求分析整个电路的工作原理,并分别说明了各子模块的设计原理,依据各模块之间的逻辑关系,将各电路整合到一块,形成一个总体电路。本实验在Quartus Ⅱ环境下进行设计,并下载到SmartSOPC实验系统中进行硬件测试。最终对实验结果进行分析并总结出在实验过程中出现的问题以及提出解决方案。 关键词:Quartus Ⅱ直接数字频率合成器波形频率相位调节 Abstract The Direct Digital Frequency Synthesizer is a technology based on fully digital technique, a frequency combination technique syntheses a required waveform from concept of phase. This report introduces the design to the completion of the process of direct digital frequency synthesizer DDS. The output frequency and phase can be controlled, and can output sine, cosine, triangle wave, square wave, sawtooth wave, which are displayed on the oscilloscope after conversation. Can be achieved by the control to maintain clear function. Further can simultaneously display the value of the frequency, the phase control word and the output frequency. The experimental design in the Quartus Ⅱenvironment, the last hardware test download to SmartSOPC experimental system. The final results will be analyzed, the matter will be put forward and the settling plan can be given at last. Key words:Quartus ⅡDirect Digital Frequency Synthesizer waveform Frequency and phase adjustment

单片机控制DAC0832输出正弦波三角波汇编程序

单片机控制DAC0832 输出正弦波三角 波 汇编程序 org 0000h LJMP MAIN ORG 0003H LJMP L0 MAIN:MOV R2,#0aH MOV R4,#01H MOV R5,#01H pp: SETB EA SETB EX0 MOV A,#0FFH MOV P1,A MOV A,P1 JNB ACC.0,ZXB JNB ACC.1,SJB JNB ACC.2,FB AJMP PP ZXB:MOV R1,#00H LOOP1:MOV A,R1 ;调幅倍数 ;增减选择 ;延时计数个数 ;读取波形状 态 ;P1.0=0 则选择正弦 波 ;P1.1=0 则选择三角波 ;P1.2=0 则选择方波

MOV DPTR,#TABLE1 ;读取正弦波数据首地址 MOVC A,@A+DPTR ;去表格数据 MOV B,R2 MUL AB ;幅度大小 INC R1 XCH A,R1 CLR C SUBB A,#0AH ;是否已采样半个周期 JNC LK1 ;C=0 跳转,即R1 大于0A,以采样半个周期以上 ADD A,#0AH XCH A,R1 ;还原R1 ADD A,#80H ;8OH 为零点,取得正的幅度值 AJMP LK0 LK1:ADD A,#0AH XCH A,R1 MOV R3,A MOV A,#80H

CLR C SUBB A,R3 ;80H 为零点,取得负的幅度值LK0:MOV DPTR,#8000H ;送DA 转换入口地址MOVX @DPTR,A MOV A,R5 KD:DEC R5 ;调用延时 CALL DELAY CJNE R5,#00H,KD MOV R5,A CJNE R1,#12H,LOOP1 LJMP PP FB:MOV R1,#00H LOOP2:MOV A,R1 MOV DPTR,#TABLE2 ;读取方波数据首地址 MOVC A,@A+DPTR ;去表格数据 MOV B,R2 MUL AB ;幅度大小 INC R1

DDS设计实验报告(DOC)

DDS设计实验报告实验名称:直接数字频率合成器 指导老师:花汉兵,姜萍 姓名:陈维兵 学号:114108000808 院系:能源与动力工程学院

目录 目录 (1) 摘要 (2) 正文 一、设计内容 (3) 二、设计原理 (3) 三、设计要求 (5) 四、设计思路以及部分电路图 (6) 五、实验感想 (16) 六、参考书目 (16)

摘要 本文介绍的是数字频率合成器(DDS)的设计以及其附加功能的拓展,附加功能有双通道显示、多波形显示、输出频率测量,另外,本文还介绍了一些在原有数字频率合成器的基础上做一些改进的想法和思路,虽然有的想法并没有实施,但是,作为一种参考也未尝不可。希望本文对读者有所帮助。 关键字:数字频率合成,附加功能,改进想法 Abstract The page introduces the design of the Direct Digital Frequency Synthesizer , which shorts for DDS , and other new more additions of it , the additions includes double-rows vision , wave-patterns vision , measuring of the output frequency , what’s more , this page introduces many more thoughts of improving the system which has been made ,even though the thoughts have not been applied , still they are good references for we and you .Wishing it helpful to you. Keywords: DDS ,addition of the system ,improving thoughts

轴系结构设计实验报告-new1

轴系结构设计实验报告 实验者:同组者: 班级:日期: 一、实验目的 1、熟悉并掌握轴系结构设计中有关轴的结构设计,滚动轴承组合设计的基本方法; 2、熟悉并掌握轴、轴上零件的结构形状及功用、工艺要求和装配关系; 3、熟悉并掌握轴及轴上零件的定位与固定方法; 4、了解轴承的类型、布置、安装及调整方法以及润滑和密封方式。 二、实验设备 1、组合式轴系结构设计分析试验箱。 试验箱提供能进行减速器援助齿轮轴系,小圆锥齿轮轴系及蜗杆轴系结构设计实验的全套零件。 2、测量及绘图工具 300mm钢板尺、游标卡尺、内外卡钳、铅笔、三角板等。 三、实验步骤 1、明确实验内容,理解设计要求; 已知条件(包括传动零件类型、载荷条件、速度条件): 绘制传动零件支撑原理简图: 2、复习有关轴的结构设计与轴承组合设计的内容与方法(参看教材有关章 节); 3、构思轴系结构方案 (1)根据齿轮类型选择滚动轴承型号; 轴承类别选择依据 (2)确定支承轴向固定方式(两端固定或一端固定、一端游动); 轴承轴向固定方式选择依据 (3)根据齿轮圆周速度(高、中、低)确定轴承润滑方式(脂润滑、油润滑); 润滑方式选择依据 (4)选择端盖形式(凸缘式、嵌入式)并考虑透盖处密封方式(毡圈、皮碗、油沟); 密封方式选择依据 (5)考虑轴上零件的定位与固定,轴承间隙调整等问题; 如何定位 选择依据

(6)绘制轴系结构方案示意图。 4、组装轴系部件 根据轴系结构方案,从实验箱中选取合适零件并组装成轴系部件、检查 所设计组装的轴系结构是否正确。 6、将所有零件放入试验箱内的规定位置,交还所借工具。 7、根据结构草图及测量数据,在图纸上绘制轴系结构装配图,要求装配关 系表达正确,注明必要尺寸(如支承跨距、齿轮直径与宽度、主要配合 尺寸),填写标题栏和明细表。 8、写出实验报告。 四、实验结果分析 1、轴上各键槽是否在同一条母线上。 2、轴上各零件(如齿轮、轴承)能否装到指定位置。 3、轴上零件的轴向、周向固定是否可靠。 4、轴承能否拆下。

相关文档
相关文档 最新文档