文档库 最新最全的文档下载
当前位置:文档库 › EDA实验五:交通灯

EDA实验五:交通灯

EDA实验五:交通灯
EDA实验五:交通灯

南昌大学实验报告

学生姓名:沈巧巧学号:6102113086 专业班级:中兴通信131班实验类型:□验证□综合█设计□创新实验日期:2015.10.9 实验成绩:

实验五交通灯控制电路的设计

一、实验目的

1、学习与日常生活相关且较复杂数字系统设计;

2、进一步熟悉EDA实验装置和QuartusⅡ软件的使用方法;

3、学习二进制码到BCD码的转换;

4、学习有限状态机的设计应用。

二、实验原理

交通灯的燃灭规律为:初始态是两个路口的红灯全亮之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。

三、设计要求

完成设计、仿真、调试、下载、硬件测试等环节,在型EDA实验装置上实现一个由一条主干道和一条乡间公路的汇合点形成的十字交叉路口的交通灯控制器功能,具体要求如下:①有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;②交通灯由绿转红前有4秒亮黄灯的间隔时间,由红转绿没有间隔时间;③乡间公路右侧各埋有一个串连传感器,当有车辆准备通过乡间公路时,发出请求信号S=1,其余时间S=0;④平时系统停留在主干道通行(MGCR)状态,一旦S信号有效,经主道黄灯4秒(MYCR)状态后转入乡间公路通行(MRCG)状态,但要保证主干道通行大于一分钟后才能转换;⑤一旦S信号消失,系统脱离MRCG状态,即经乡道黄灯4秒(MRCY)状态进入MGCR状态,即使S信号一直有效,MRCG状态也不得长于20秒钟;⑥控制对象除红绿灯之外,还包括分别在主干道和乡间公路各有一个两位十进制倒计时

数码管显示。

四、实验步骤

1、新建一个工程,建完工程之后,再新建一个V HDL File,打开V HDL编辑

器对话框,编写V HDL程序。

2.选目标器件CycloneII中的EP2C35F672C8并编译。

3.建立仿真波形文件,进行波形仿真。

S=0时,乡干道无车,保持主干道绿灯、乡干道红灯状态

S=1时,乡干道有车,主干道通行60秒后黄灯闪烁4秒再乡干道通行20秒

波形分析:CPA为时钟脉冲;S为乡间公路连传感器,当有车辆准备通过乡间公路时,发出请求信号S=1,其余时间S=0;X计时,主干道通行60秒、黄灯闪烁4秒、乡干道通行20秒;LED 0-5分别对应主干道的红黄绿灯和乡干道的红黄绿灯。

4.管脚分配

5、下载测试

下载到实验箱上,可以观察到交通灯模块按预设的功能要求在运行。当上拨K1(即S=1)时,主干道通行60秒(主干道绿灯亮,乡干道红灯亮)后,主干道黄灯闪烁4秒,乡干道通行20秒(乡干道绿灯亮,主干道红灯亮),之后乡干道黄灯闪烁4秒,主干道又通行,如此循环;当下拨K1(即S=0),主干道一直保持通行状态(主干道绿灯一直亮),数码管会从60减到0时再从60开始如此循环。

6、实验小结

本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的1KHz 时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz 脉冲,即每1s中递减一次,交通灯模块原理与L ED 灯模块的电路原理一致,当有高电平输入时 LED 灯就会被点亮,反之不亮。

本实验的特别之处是增加了一个S 信号用于检测乡干道车有无车辆通过。在实验过程中只要紧抓实验要求将几个模块综合就很容易实现。

相关文档