文档库 最新最全的文档下载
当前位置:文档库 › 电子密码锁设计任务书及封面

电子密码锁设计任务书及封面

电子密码锁设计任务书及封面
电子密码锁设计任务书及封面

本科生课程设计成绩评定表姓名性别

专业、班级

课程设计题目:

课程设计答辩或质疑记录:

成绩评定依据:

评定项目评分成绩1.选题合理、目的明确(10分)

2.设计方案正确、具有可行性、创新性(20分)

3.设计结果(20分)

4.态度认真、学习刻苦、遵守纪律(15分)

5.设计报告的规范化、参考文献充分(不少于5篇)(10分)

6.答辩(25分)

总分

最终评定成绩(以优、良、中、及格、不及格评定)

指导教师签字:

年月日

能力拓展训练任务书

学生姓名:xxx 专业班级:自动化xxxx班

指导教师:xxx 工作单位:自动化学院

题目: 电子密码锁设计

初始条件:计算机、Max+plusⅡ、EDA实验箱。

要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)

设计一个电子密码锁,在锁开的状态下输入密码,设置的密码共4位,用数据开关K1~K10分别代表数字1,2,…,9,0,输入的密码用数码管显示,最后输入的密码显示在最右边的数码管上,即每输入一位数,密码在数码管上的显示左移一位。可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的位上补充“0”。用一位输出电平的状态代表锁的开闭状态。

提高部分:

为保证密码锁主人能打开密码锁,设置一个万能密码,在主人忘记密码时使用。

任务安排:

(1)设计任务及要求分析

(2)方案比较及认证说明

(3)系统原理阐述,写出设计方案结构图。

(4)软件设计课题需要说明:软件思想,流程图,源程序及程序注释(5)调试记录及结果分析

(6)总结

(7)参考资料5篇以上

(8)附录:程序清单

时间安排:

8月31日:设计任务

9月1日:收集资料,方案选择

9月2日:程序设计

9月3日:实验室内调试程序并演示

9月4日:报告撰写

9月11日:交设计报告

指导教师签名:年月日系主任(或责任教师)签名:年月日

目录

一设计任务及任务分析 (1)

二方案比较及认证说明 (2)

三系统原理阐述 (3)

四程序设计与波形仿真 (4)

4.1 密码输入模块 (4)

4.2 密码锁控制模块 (4)

4.3 扫描显示模块 (5)

五调试记录及结果分析 (6)

六心得与体会 (7)

七参考文献 (8)

附录一密码锁顶层gdf文件图 (9)

附录二程序清单 (10)

电子密码锁

一设计任务及任务分析

此设计问题可分为用密码输入模块、密码锁控制模块、扫描显示模块。在密码输入模块中,对按键进行扫描,当有按键按下时,将对应的编码输入到控制模块中,密码控制模块要完成密码输入、删除、设置、检验的功能,扫描显示模块的功能是高频率的时钟脉冲对数码管按顺序扫描,动态显示密码。

设计一个电子密码锁,在锁开的状态下输入密码,设置的密码共4位,用数据开关K1~K10分别代表数字1,2,…,9,0,输入的密码用数码管显示,最后输入的密码显示在最右边的数码管上,即每输入一位数,密码在数码管上的显示左移一位。可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的位上补充“0”。用一位输出电平的状态代表锁的开闭状态。为保证密码锁主人能打开密码锁,设置一个万能密码,在主人忘记密码时使用。

二方案比较及认证说明

在进行程序设计时,我们可以选择VHDL语言,也可以Verilog HDL语言。选择VHDL 语言,使用if语句、case语句、进程完成密码锁的功能,而使用Verilog HDL 中的always 语句、case语句可以完成任务。在此,选择VHDL完成设计任务。

与其他硬件描述语言相比,VHDL具有以下特点:

功能强大、设计灵活。VHDL具有功能强大的语言结构,可以用简洁明确的源代码来描述复杂的逻辑控制。它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。VHDL支持同步电路、异步电路和随机电路的设计,这是其他硬件描述语言虽不能比拟的。VHDL还支持各种设计方法,既支持自底向上的设计,又支持自顶向下的设计;既支持模块化设计,又支持层次化设计。

支持广泛、易于修改。由于VHDL已经成为IEEE标准所规范的硬件描述语言,目前大多数EDA工具几乎都支持VHDL,这为VHDL的进一步推广和广泛应用奠定了基础。在硬件电路设计过程中,主要的设计文件是用VHDL编写的源代码,因为VHDL 易读和结构化,所以易于修改设计。

强大的系统硬件描述能力。VHDL具有多层次的设计描述功能,既可以描述系统级电路,又可以描述门级电路。而描述既可以采用行为描述、寄存器传输描述或结构描述,也可以采用三者混合的混合级描述。另外,VHDL支持惯性延迟和传输延迟,还可以准确地建立硬件电路模型。VHDL支持预定义的和自定义的数据类型,给硬件描述带来较大的自由度,使设计人员能够方便地创建高层次的系统模型。

独立于器件的设计、与工艺无关。设计人员用VHDL进行设计时,不需要首先考虑选择完成设计的器件,就可以集中精力进行设计的优化。当设计描述完成后,可以用多种不同的器件结构来实现其功能。

很强的移植能力。VHDL是一种标准化的硬件描述语言,同一个设计描述可以被不同的工具所支持,使得设计描述的移植成为可能。

使用VHDL语言时,可以把程序分成不同的模块,一种是把程序分成密码输入删除控制模块、寄存器模块、比较模块、扫描显示模块这四部分;另一种是把程序分成密码输入模块、密码锁控制模块、扫描显示模块。这两种分法均可以实现任务要求。而我选择后者。

三系统原理阐述

此设计任务分为密码输入模块、密码锁控制模块、扫描显示模块。其结构框图如图1 所示。

图1 系统结构框图

用编码器对数据开关K1~K10的电平信号进行编码,时钟脉冲对开关进行扫描,每输入一位数,数码管左移一位。

设置删除信号back,每按一次,删除最后输入的数字,密码在数码管显示右移一位,左边空处0。

设置密码确认信号set,在四位密码输入完毕后,按下set,则将输入的四位数字设为密码,同时数码管清零。

设置密码锁状态显示信号lock。lock=0(LED灭)表示锁未开,lock=1(LED亮)表示锁开。

设置关锁信号close,按下close,则lock=0(LED灭),数码管清零。

设置密码检验信号check ,在lock=0(LED灭)下从数据开关输入四位开锁数据,按下check,如果输入的四位数据与密码相同,则lock=1(LED亮);如果与万能密码相同,lock=1(LED亮)。

四程序设计与波形仿真

此程序分成密码输入模块、密码锁控制模块、扫描显示模块。在进行最后的硬件调试的时候,可能会出现意想不到的问题,所以在对每个模块进行编程后,要进行波形仿真,通过波形图看所编写的程序代码是否符合我们的需要,能否完成我们所要的功能。

4.1 密码输入模块

当有一个按键按下时,要有一个相对应的编码,由于要显示到9,所以这个对应的编码应该有四位。使用case语句,使之对应,将10位数据开关对应为4位的数字编码。当有超过1个开关按键按下时,对应”1111”, 此次输入无效。

程序如附录二程序清单中第一部分密码输入模块代码所示。

对图形进行波形仿真,结果如图2 密码输入模块仿真波形所示。

图2 密码输入模块仿真波形

输入“001”、“002”、“004”、“008”、“010”、“020”、“040”、“080”、“080”、“100”、“200”分别表示选择开关k1、k2、..k9、k10,输出数据1,2,3…9,0,从波形中我们可以看出这是符合。所以,以上程序是和密码锁的所需功能相符的,程序正确。

4.2 密码锁控制模块

密码控制模块要完成密码输入、删除、设置、检验的功能。在开关按键按下后显示到数码管上,如果有功能键按下,则执行功能键的功能。

程序如附录二程序清单中2 密码锁控制模块代码所示。

对程序代码进行波形仿真,结果如图3 密码锁控制模块波形所示。

图3 密码锁控制模块波形

根据上图,在200ns时,按下set键,则此时把最近四个时钟周期的数字设置为密码,即密码为7642;在520ns时,按下check键,则把最近四个时钟周期的数字输入,为7642,与密码相同,则密码锁打开,lock信号为高电平。在back键按下后,4539(由于时间很短暂,无法在仿真波形上显示)变为0453。这验证了密码锁控制模块的set、check、back 的功能,完全能满足要求,这表明程序时正确的。

4.3 扫描显示模块

当数据传输到扫描显示模块时,将在数码管上动态显示。通过一个二进制计数器控制扫描,‘00’则使片选信号为‘000’,在第一个数码管上显示输入数字的最后一位;‘01’则使片选信号为‘001’,在第二个数码管上显示数字,依次类推,在二进制数为‘11’后,重新开始计数,这样完成动态显示。动态扫描的时钟信号频率要比较高。

其程序如附录二程序清单中3 扫描显示模块代码所示。

对程序进行波形仿真,结果如图4 扫描显示模块仿真波形所示。

图4 扫描显示模块仿真波形

分析上图,sn从0经1、2变为3,反复如此,这是动态扫描时的顺序,同样,con

码管上显示0,其对应码为39;sn为1时,din为‘0010’,则在第二个数码管上显示1,其对应码恰为06;sn为2时,din为‘1258’,在第三个数码管上显示2,其对应码为5B;当sn为3时,din为3267,则在第三个数码管上显示3,其对应码4F,再往后验证,可以发现波形图是正确的。这表明这是我们需要的程序。

五调试记录及结果分析

在做了以上的工作后,对原理图配置器件、分配引脚、编译后,下载到芯片中进行仿真。在确定程序时正确的后,拨动按键进行调试。

在进行调试的时候,发现按下按键后,在数码管上只是从左到右显示一个数字,不能同时显示两个以上的数字,在检查程序后,确定程序没有问题,后来检查了引脚分配,发现两个时钟信号源的引脚分配反了。对开关按键进行扫描的时钟信号源频率相对于扫描数码管的时钟信号源频率低一些,这样才能实现动态的扫描显示,在解决这一问题后,可以按动开关按键后,在数码管上显示数字,从左到右移动;按下控制键后,其功能能够实现。

这样密码锁就实现了。

六心得与体会

能力拓展训练的目的是提高我们的专业能力。可这次的课题是完全陌生的,没见过也没听过。有过很多的困惑,也曾想到放弃。但最后我还是很用心的做了,慢慢的回忆以会的能应用在这里的东西,并且在写程序和调试时,认真的体会,对以前的一些问题进行了思考与研究,解决了一些问题,这让我很高兴,比如对数码管的片选是怎么回事,数码管上的显示怎么实现的等等。对使用VHDL语言编程的流程有了进一步的熟悉,让我获益匪浅。

电子密码锁相对来说是一个比较综合的程序设计题,分为几个模块。对于每个模块的程序编写要建立在对整个项目的理解的基础上,只有这样,这些模块之间的衔接才不会出问题。在这次的能力拓展训练中积累了一些对以后做大型项目的经验,对于其流程有了一个初步的了解,明白了作为一个程序员的基本的素养。在以后的学习中,还要继续学习这么语言。

参加拓展训练,感悟很多。拓展训练以简单的游戏,揭示了抽象的深刻的道理,让我找回了工作中被时间磨蚀掉却非常珍贵的东西:意志、激情与活力。这使我更深刻地意识到,其实每个人都是很坚强的,每个人在平时工作和生活中都有潜在的能力未曾发挥出来,只要有信心、有勇气去面对,就没有解决不了的难题。

七参考文献

[1]曾繁泰,陈美金.VHDL程序设计.北京:清华大学出版社,2001

[2]姜立东等编著.VHDL语言程序设计及应用(第2版).北京:北京邮电大学出版社,2004

[3]李国丽,朱维勇,栾铭编著.EDA与数字系统设计.北京:机械工业出版社,2004

[4]潘松,黄继业.EDA技术实用教程.北京:科学出版社,2002

[5]卢杰,赖毅.VHDL与数字电路设计.北京:科学出版社,2001

附录一密码锁顶层gdf文件图

附录二程序清单

1 密码输入模块代码

library ieee; ---定义实体

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity tra is

port( n: in std_logic_vector(9 downto 0);

sout: out std_logic_vector(3 downto 0));

end tra;

architecture at of tra is ----定义结构体signal temp: std_logic_vector(3 downto 0);

begin

process(n)

begin

case n is ----输入键编码when "0000000001"=>temp<="0001"; --“1”

when "0000000010"=>temp<="0010"; --“2”

when "0000000100"=>temp<="0011"; --“3”

when "0000001000"=>temp<="0100"; --“4”

when "0000010000"=>temp<="0101"; --“5”

when "0000100000"=>temp<="0110"; --“6”

when "0001000000"=>temp<="0111"; --“7”

when "0010000000"=>temp<="1000"; --“8”

when "010*******"=>temp<="1001"; --“9”

when "1000000000"=>temp<="0000"; --“0”

when others=>temp<="1111"; --“不显示”

end process;

2 密码锁控制模块代码

library ieee; ----定义实体

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cont is

port(

set,check,close,back,clk: in std_logic;

dn: in std_logic_vector(3 downto 0);

sout: out std_logic_vector(15 downto 0); ---16位输入信号

lock: out std_logic); ---设置密码锁状态信号

end cont;

architecture at of cont is ----定义结构体signal ch:std_logic;

begin

process(clk,dn)

----定义进程变量variable lock_1:std_logic;

variable acc:std_logic_vector(15 downto 0);

variable temp1,temp2,temp3,temp4:std_logic_vector(3 downto 0);

begin

ch<=not(dn(0) and dn(1) and dn(2) and dn(3));

lock_1:='0';

if clk'event and clk='1' then ----时钟脉冲上升沿有效if ch='1' then ---开关按键输入有效

temp4:=temp3; ----密码左移显示temp3:=temp2;

temp2:=temp1;

temp1:=dn;

end if;

if back='1' then

----密码删除右移显示temp1:=temp2;

temp2:=temp3;

temp3:=temp4;

temp4:="0000";

lock_1:='0';

end if;

if set='1' then -----密码设置acc:=temp4&temp3&temp2&temp1;

lock_1:='0';

end if;

if check='1' then ----密码校验if acc=temp4&temp3&temp2&temp1 then lock_1:='1';

elsif temp4&temp3&temp2&temp1="1000100010001000" then ----设置万能密码lock_1:='1';

end if;

end if;

if close ='1' then

lock_1:='0'; ----密码锁的关闭

temp1:="0000"; ----数码管清零

temp2:="0000";

temp3:="0000";

temp4:="0000";

end if;

end if;

sout<=temp4&temp3&temp2&temp1;

lock<=lock_1;

end process;

end at;

3 扫描显示模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity leds is -----定义实体

port(

din:in std_logic_vector(15 downto 0); ----16位输入信号clk:in std_logic; ---时钟信号

con: buffer std_logic_vector(1 downto 0);

led7:out std_logic_vector(6 downto 0); ---七段数码管输入信号sn:out std_logic_vector(2 downto 0)); ----数码管七段译码信号end leds;

architecture behav of leds is -----定义结构体signal db:std_logic_vector(3 downto 0);

begin

process(clk,din)

begin

if clk'event and clk='1' then

con<=con+1; ----数码管的片选计数end if;

if(con="00") then ----片选为0,0~3位显示db<=din(3 downto 0);

sn<="000";

elsif(con="01") then ----片选为1,4~7位显示db<=din(7 downto 4);

sn<="001";

elsif(con="10") then ----片选为2,8~11位显示db<=din(11 downto 8);

sn<="010";

elsif(con="11") then ----片选为3,12~15位显示db<=din(15 downto 12);

sn<="011";

end if;

case db is ----数码管的译码when "0000" =>LED7 <="0111111"; --"0" / 39h

when "0001" =>LED7 <="0000110"; --"1" / 06h

when "0010" =>LED7 <="1011011"; --"2" / 5Bh

when "0011" =>LED7 <="1001111"; --"3" / 4Fh

when "0100" =>LED7 <="1100110"; --"4" / 66h

when "0101" =>LED7 <="1101101"; --"5" / 6Dh

when "0110" =>LED7 <="1111101"; --"6" / 7Dh

when "0111" =>LED7 <="0000111"; --"7" / 07h

when "1000" =>LED7 <="1111111"; --"8" / 7Fh

when "1001" =>LED7 <="1101111"; --"9" / 6Fh

when others =>LED7 <="0000000"; --"不显示" end case;

end process;

end behav;

大学生毕业设计任务书.

大学生毕业设计任务书 (建筑装饰工程技术专业 题目: 院(系: 专业: 学生姓名: 班级: 学号: xx职业技术学院 建筑装饰系 一、任务性质与目的 毕业设计是专科教育中的最后一个教学环节。建筑装饰专业的毕业环节由顶岗实习、毕业设计、毕业答辩三个部分组成。是 此前各个教学环节的继续、深化和扩展,是最后完成室内设计的基本训练、由学习阶段走向工作岗位、直接参加社会生产实践与 国家建设的一个重要过渡。 学生通过毕业设计,应达到以下能力要求: 1知识要求: a.掌握岗位工作流程和岗位职责要求。

.整合所学专业知识,掌握室内设计程序和相关规范要求。 c.熟悉材料和工艺,充分认识图纸设计与现场施工的关系。 2技能要求: a.设计方法正确,设计表达规范。 .具有与设计师、业主沟通交流能力。 c.熟练绘制施工图、表现图。 3素质要求: a.具有良好的职业道德和行为规范。 .适应岗位能力要求,服从企业管理。 c.具有认真负责的学习态度和一丝不苟的工作作风。 二、设计题目 题目:1、住宅室内设计 2、办公空间设计 3、餐饮空间设计 4. 客房空间设计 选题说明:从装饰企业实际项目选题,原则上应与企业接单同步。 三、设计任务和要求。 1、设计具有明显的风格个性。 2、材料选择适宜,并要求结合灯光照明的艺术处理,渲染环境气氛。

3、方案施工图以满足施工和指导为原则,效果图以满足视觉的直观感受为原则。 4、图纸一律用统一图幅,电脑打印出图(手绘除外 5、正确运用制图方法、把握好比例尺度。 设计文件有: 1.手绘方案表现图:2张 .2.电脑效果图:3张 3.施工图: (1原始结构平面图; (2平面布置图; (3地花平面图; (4顶棚布置图; (5全部立面图; (8必要的剖面图和详图。 4.设计说明:工程概况,设计思路和理念、风格等。 5.材料清单。 6.图纸封面、目录表。 图面要求:

推荐-基于单片机的电子密码锁的设计课程设计任务书 精品

中北大学信息商务学院课程设计任务书 13/14 学年第一学期 学院:信息商务学院 专业:电子信息工程 学生姓名:焦晶晶学号:10050644X07 学生姓名:侯战祎学号:10050644X47 课程设计题目:专业综合实践之单片机部分: 基于单片机的电子密码锁的设计 起迄日期:20XX年12 月30 日~20XX年1月10 日课程设计地点:201,503 指导教师:张权 系主任:王浩全 下达任务书日期: 20XX 年12月30 日

课程设计任务书

课程设计任务书

目录 3.2 硬件电路设计 (5) 4软件程序设计 (10)

1 绪论 在当今社会,安全防盗已成为社会问题,而锁自古以来就是防盗的重要工具,目前国内大部分人使用的还是传统的机械锁,然而,眼下假冒伪劣的机械锁互开率非常之高,此外,即使是一把质量过关的机械锁,通过急开锁,甚至可以在不损坏锁的前提下将锁打开。机械锁的这些弊端为一种新型的锁——电子密码锁,提供了很大的发展空间。 本文从经济实用的角度出发,设计采用单片机为主控芯片,结合外围电路,组成电子密码控制系统,密码锁共6位密码,每位的取值范围为1~8,用户可以自行设定和修改密码。用户想要打开锁,必先通过提供的键盘输入正确的密码才可以,密码输入错误有提示。6位密码同时输入正确,锁才能打开。锁内有备用电池,只有内部上电复位时才能设置或修改密码,因此,仅在门外按键是不能修改或设置密码的,因此保密性强、灵活性高。其特点如下: 1) 保密性好,编码量多,远远大于弹子锁。随机开锁成功率几乎为零。 2) 密码可变,用户可以随时更改密码,防止密码被盗,同时也可以避免因人员 的更替而使锁的密级下降。 3) 误码输入保护,当输入密码多次错误时,报警系统自动启动。 4) 无活动零件,不会磨损,寿命长。 5) 使用灵活性好,不像机械锁必须佩带钥匙才能开锁。 6) 电子密码锁操作简单易行,一学即会。 从目前的技术水平和市场认可程度看,使用最为广泛的是键盘式电子密码锁,该产品主要应用于保险箱、保险柜和取款机,由于人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国内外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于保密要求的箱、柜、门等。而且指纹识识别器在公共场所使用存在容易机械损坏,IC卡还存在容易丢失、损坏等缺点,再加上其成本较高,一定程度上限制了这类产品的普及和推广。鉴于目前的技术水平与市场的接受程度,键盘式电子密码锁是这类电子防盗产品的主流。在科学技术不断发展的今天,电子密码防盗锁作为防盗卫士的作用也日趋重要。电子密码锁是集计算机技术、电子技术、数字密码技术为一体的机电一体化高科技产品,具有安全性高,使用方便等优点。

智能锁方案 指纹锁解决方案

智能锁方案指纹锁解决方案 智能锁是指区别于传统机械锁,在用户识别、安全性、管理性方面更加智能化的锁具。门禁系统中锁门的执行部件。在安全技术防范领域,具有防盗报警功能的电子密码锁代替传统的机械式密码锁,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都大大提高一步。随着大规模集成电路技术的发展,特别是单片机的问世,出现了带微处理器的智能密码锁,它除具有电子密码锁的功能外,还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。 智能锁系统软件的应用和前景 智能密码锁软件采用51系列单片机汇编语言对智能监控器和电子锁具分别编程。智能监控器软件包括键盘扫描和LCD显示程序、蜂鸣器驱动程序、时钟修改和读取程序、数据通信与预处理程序、智能化分析程序及线路监测程序等模块。电子锁具软件包括键盘扫描与译码程序、LCD显示程序、通信程序、电磁执行器驱动及检测程序、传感器接口程序等模块。软件设计过程中采用模块化设计方法,便于程序的阅读、调试和改进。智能密码锁充分利用了51系统单片机软、硬件资源,引入了智能化分析功能,提高了系统的可靠性和安全性。通过在某型号保险柜安装使用,受到用户的欢迎。另外,智能密码锁在软、硬件方面稍加改动,便可构成智能化的分布式监控网络,实现某一范围内的集中式监控管理,在金融、保险、军事重地及其它安全防范领域具有广泛的应用前景。 智能锁的三大解决方案 基于WIFI、GSM、蓝牙网络;目前基于WIFI、GSM网络或者蓝牙的智能门锁是国内外的主流选择。这也是目前智能门锁基础的解决方案。为了防止出现手机被盗刷或者被恶意攻破的情况,这些门锁选择依旧需要传统钥匙开锁,但钥匙具备智能芯片,每次开门都会通过微信、短信的方式提醒用户。与Yale Lock类似,某国产解决方案也采用了GSM数据网络的方式,因为GSM数据网络可以走加密路线,相比WIFI等方案更为安全。为了保证安全,该方案还拥有独立的后台与云端,能够与手机连接,帮助用户通过手机及时接受和随时查询家人进出门信息,并具备远程报警。基于指纹识别生物特征;指纹门锁的思路是利用人体生物特征指纹进行身份安全识别认证开门,凭借高科技的数字图像处理、生物识别及DSP算法等技术,成为符合现代安防要求的新一代门禁系统。目前,指纹锁已经广泛运用于政府机构、军事基地、银行、公寓等需要安全和隐私的场所。旧时王谢堂前燕,飞入寻常百姓家。过去运用在相关机密场所的指纹门锁如今随着部分厂商的技术攻关也逐渐运用在了普通人群的生活之中。人脸识别和虹膜识别;随着阿里巴巴、腾讯等在金融产品中使用人脸识别,这一技术将上升到一个新高度。数据显示,2012年我国人脸识别行业市场规模约16.7亿元,预计到2015年,我国人脸识别行业市场规模将达到75亿元,未来潜在的市场规模将超过千亿。具有人脸识别概念的公司有佳都科技、汉王科技等。 在智能大潮的推动下,国内外已经在思索门锁的新出路。基于网络和蓝牙的智能门锁、基于指纹识别的指纹门锁乃至是人脸识别、虹膜识别的门锁成为了选择。这三种解决方案中,基于网络和蓝牙的智能门锁相对保守,而指纹、人脸、虹膜的方案则相对激进。这三大解决方案的谈不上哪家更强,实际上各个厂商在技术和现实的层面上有各有思虑,都具备较强的合理性。目前智能锁的应用已经得到了广范的应用,可以说得上是家喻户晓,给我们生活上

电子密码锁设计

《嵌入式系统》课程设计报告 题目 院系:机电学院 学生姓名:任 专业: 班级: 1 1 1 指导教师: 完成时间:2014-10-17

目录 1 系统总体方案设计 0 2 硬件电路设计 (2) 2.1 键盘电路设计 (2) 2.2 LCD1206显示电路 (3) 2.3 响应电路 (5) 3 软件设计 (6) 3.1软件设计思路 (5) 3.2 子程序 (6) 4 系统调试 (9) 5 心得体会 (10) 6 参考文献 (11) 附录 (12) (1)源程序 (12) (2)硬件原理图 (15)

摘要:随着人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国内外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于保密要求的箱、柜、门等。而且指纹识识别器若在公共场所使用存在容易机械损坏,IC卡还存在容易丢失、损坏等特点。加上其成本较高,一定程度上限制了这类产品的普及和推广。鉴于目前的技术水平与市场的接收程度,电子密码锁是这类电子防盗产品的主流。 关键词:4×4矩阵键盘;stm32;密码锁;LCD1602

1 系统总体方案设计 1.1采用数字电路控制 其原理方框图如图1-1所示。 图1.1 数字密码锁电路方案 密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、键盘输入次数锁定电路。 1.2 采用一种是用以stm32为核心的控制方案 利用其灵活的编程设计及其控制的准确性,不但能实现基本的密码锁功能,还能添加调电存储、声光提示甚至添加遥控控制功能。其原理如图1.2所示。 图1.2 stm32控制方案

本科毕业设计任务书(范本)

(说明:请把红色字体部分根据个人题目的不同进行更改) 广州大学华软软件学院 本科毕业设计任务书 设计题目浅析计算机病 毒的免杀技术 系别网络技术系 专业网络工程 班级10网络设计与管理(1)班 学号1040217901 学生姓名郑天骄 指导教师田宏政 下发时间:2014年10月28日

毕业设计须知 1、认真学习和执行广州大学华软软件学院学生毕业论文(设计)工作管理规程; 2、努力学习、勤于实践、勇于创新,保质保量地完成任务书规定的任务; 3、遵守纪律,保证出勤,因事、因病离岗,应事先向指导教师请假,否则作为缺席处理。凡随机抽查三次不到,总分降低10分。累计缺席时间达到全过程l/4者,取消答辩资格,成绩按不及格处理; 4、独立完成规定的工作任务,不弄虚作假,不抄袭和拷贝别人的工作内容。否则毕业设计成绩按不及格处理; 5、毕业设计必须符合《广州大学华软软件学院普通本科生毕业论文(设计)规范化要求》,否则不能取得参加答辩的资格; 6、实验时,爱护仪器设备,节约材料,严格遵守操作规程及实验室有关制度。 7、妥善保存《广州大学华软软件学院本科毕业设计任务书》。 8、定期打扫卫生,保持良好的学习和工作环境。 9、毕业设计成果、资料按规定要求装订好后交指导教师。凡涉及到国家机密、知识产权、技术专利、商业利益的成果,学生不得擅自带离学校。如需发表,必须在保守国家秘密的前提下,经指导教师推荐和院领导批准。

课题名称浅析计算机病毒的免杀技术 完成日期:2015年4月30日 一、题目来源及原始数据资料: 随着计算机技术的飞速发展,信息网络已经成为社会发展的重要保证。有很多是敏感信息,甚至是国家机密。所以难免会吸引来自世界各地的各种人为攻击,窃取、篡改、删添等。随着时代的发展,网络已经成为了一个我们生活的必需品。而Web站点已经随处可见,其应用也是遍及各个领域,并已和我们日常生活息息相关。但是针对站点的渗透攻击也是缕缕出现,给我们带来了很大的危胁。因此我们必须展开对Web站点渗透技术的研究。 教师根据学生对站点的内部结构研究结果,分析可能成功的渗透技术,通过模拟攻击过程展示渗透成功之后的效果并寻求解决办法,进而提出一套行之有效的防护措施,顺利完成本次毕业设计任务。 二、毕业设计要求: 要求:详细的Web站点渗透技术的研究。大致可分为以下七部分: 1、网络安全现状的分析; 2、常见的站点结构组成; 3、常见的渗透技术分析; 4、模拟主要的攻击技术; 5、提出防范思路并设计解决方案; 6、必要的实现过程展示; 7、总结与未来工作的展望; 具体要求如下: 1、分析国内、国外的网络安全现状,了解网络安全方面主要存在的问题。 2、了解常见的Web站点结构、机制和原理。 3、了解针对站点的渗透技术。 4、分析主流的渗透攻击技术; a、文件与内存特征码定位; b、压缩整容,加壳免杀;

电子密码锁设计

河南科技大学 课程设计说明书 课程名称EDA课程设计 题目电子密码锁设计 学院电子信息工程学院 班级电信科074班 学生姓名马保林 指导教师宋萧 日期2010年9月22日

课程设计任务书 课程设计名称EDA课程设计学生姓名马保林专业班级电信科074班设计题目电子密码锁设计 一、课程设计目的 1、综合运用EDA技术,独立完成一个课题的设计,考察运用所学知识,解决实际问题 的能力; 2、结合理论知识,考察阅读参考资料、文献、手册的能力; 3、进一步熟悉EDA技术的开发流程,掌握文件编辑、编译、仿真、下载验证等环节的 实现方法和应用技巧; 4、锻炼撰写研究报告、研究论文的能力; 5、通过本实践环节,培养科学和严谨的工作作风。 二、设计内容、技术条件和要求 1、设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工 作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 2、在锁的控制电路中储存一个可以修改的4位二进制代码,当开锁按钮开关的输入代 码等于储存代码时,开锁; 3、从第一个按钮触动后的30秒内若未将锁打开,则电路长报警30S,若输入密码错误 1或2次,则每次短报警5S,若输错三次密码则长报警。 三、时间进度安排 1周:(1) 完成设计准备,确定实施方案;(2) 完成电路文件的输入和编译;(4) 完成功能仿真。 2周:(1) 完成文件至器件的下载,并进行硬件验证;(2) 撰写设计说明书。 四、主要参考文献 (1)谭会生、瞿遂春,《EDA技术综合应用实例与分析》,西安电子科技大学出版社,2004 (2)高有堂,《EDA技术及应用实践》,清华大学出版社,2006 (3)亿特科技,CPLD/FPGA应用系统设计与产品开发》,人民邮电出版社,2005 (4)曹昕燕、周凤臣等,《EDA技术实验与课程设计》,清华大学出版社,2006 指导教师签字:2010年9月5日

电子密码锁单片机课程设计

湖南学院 课程设计 课程名称 课题名称电子密码锁设计 专业测控技术与仪器 班级测控 学号 姓名 指导教师 年月日

湖南学院 课程设计任务书 课程名称 课题电子密码锁设计 专业班级测控 学生姓名 学号 指导老师 审批 任务书下达日期年月日 任务完成日期年月日

设计内容与设计要求 设计内容: 1、密码的设定,此密码是固定在程序存储器ROM中,假设预设的 密码为“12345”共5位密码。 2、密码的输入:采用两个按键来完成密码的输入,其中一个按 键为功能键,另一个按键为数字键。在密码都已经输入完毕并 且确认功能键之后,才能完成密码的输入过程。然后进入密码 的判断比较处理状态并给出相应的处理过程。 3、按键禁止功能:初始化时,允许按键输入密码,当有按键按下 并开始进入按键识别状态时,按键禁止功能被激活,但启动的 状态是在3次密码输入不正确的情况下发生的。 设计要求: 1、设计方案要合理、正确; 2、系统硬件设计及焊接制作; 3、系统软件设计及调试; 4、系统联调; 5、写出设计报告。

主要设计条件 1、MCS-51单片机实验操作台1台; 2、PC机及单片机调试软件; 3、单片机应用系统板1套; 4、制作工具1套; 5、系统设计所需的元器件。 说明书格式 1.封面 2.课程设计任务书 3.目录 4.系统总体方案设计 5.系统硬件设计 6.软件设计(包括流程图) 7.系统的安装调试说明 8、总结 9、参考文献 10、附录(源程序清单及硬件原理图等); 11、课程设计成绩评分表。

进度安排 设计时间为两周 第一周 星期一、上午:布置课题任务,讲课及课题介绍 下午:借阅有关资料,总体方案讨论 星期二、确定总体设计方案 星期三、硬件模块方案设计 星期四、软件模块方案设计 星期五、设计及调试 第二周 星期一、设计及调试 星期二、设计及调试 星期三、总调 星期四、写说明书 星期五、上午:写说明书,整理资料 下午:交设计资料,答辩 参考文献 [1]、《微型计算机原理及应用》许立梓编机械工业出版社 2002 [2]、《微型计算机接口技术及应用》刘乐善编华中理工大学出版社 2000 [3]、《计算机硬件技术基础试验教程》邹逢兴编高等教育出版社 2000 [4]、《16位微型计算机原理接口及其应用》周佩玲编中国科学技术大学出版 社2000 [5]、《微型计算机原理与接口技术》吴秀清编中国科学技术出版社 2001 [6]、《微型计算机接口技术》邓亚平编清华大学出版社 2001 [7]、《单片机原理及及应用》王迎旭编机械工业出版社 2001 [8]、《单片机应用程序设计技术》周航慈著北京航空航天大学出版社 2002 [9]、《单片机实用技术问答》谢宜仁主编人民邮电出版社 2002

基于51单片机电子密码锁设计

一、设计目的 1.1课题简介 如何实现防盗是很多人关心的问题,传统的机械锁由于其构造简单,被撬的事件屡见不鲜,使人们的人身及财产安全受到很大威胁。电子密码锁是一种依靠电子电路来控制电磁锁的开和闭的装置,开锁需要输入正确密码,若密码泄露,用户可以随时更改密码。因此其保密性高,使用灵活性好,安全系数高,可以满足广大用户的需要,现在广泛使用的有红外遥控电子密码锁,声控密码锁,按键密码锁等。 1.2课题研究目的 本设计是一种基于单片机的密码锁方案,根据基本要求规划单片机密码锁的硬件电路和软件程序,同时对单片机的型号选择、硬件设计、软件流程图、单片机存储单元的分配等都有注释。现在很多地方都需要密码锁,电子密码锁的性能和安全性大大超过了机械锁,为了提高密码的保密性,必须可以经常更改密码,以便密码被盗时可以修改密码。 本次设计的密码锁具备的功能:LED数码管显示初始状态“——————”,用户通过键盘输入密码,每输入一位密码,LED数码管相应有一位变为“P”,若想重新输入密码,只需按下“CLR”键。密码输入完毕后按确认键“#”,密码锁控制芯片将输入的密码和密码锁控制芯片中存储的密码相比,若密码错误,则不开锁,会有红灯亮提示,同时显示“Error”。若正确,则开锁,会有绿灯亮提示,同时显示“PASS”。用户可以根据实际情况随意改变密码值或密码长度,密码输入正确后可以按下“CHG”修改密码,输入新密码时每输入一位新密码相应有一位变为“H”,以便提示用户此时输入的是新密码,修改新密码时若想重新输入新密码只需按下“CLR”键即可。输入新密码后按确认键即修改成功,新密码写入单片机内部RAM中,以便以后用来确认密码的正确性。按下复位键,系统恢复初始状态,密码也恢复初始密码,本设计中初始密码是“096168”。 本次设计中硬件主要由我完成,软件主要由张振完成。 二、硬件设计 2.1概述 本系统主要由单片机最小系统、电源电路、输入键盘电路、输出显示电路、开锁电路等组成,系统框图如图1所示:

单片机电子密码锁的设计(汇编语言)

一、设计总说明 随着人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国内外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于保密要求的箱、柜、门等。而且指纹识识别器若在公共场所使用存在容易机械损坏,IC卡还存在容易丢失、损坏等特点。加上其成本较高,一定程度上限制了这类产品的普及和推广。鉴于目前的技术水平与市场的接收程度,电子密码锁是这类电子防盗产品的主流。 本次基于8051单片机电子密码锁的设计,其主要具有如下功能:(1)设置6位密码,密码通过键盘输入,若密码正确,则将锁打开。(2)密码可以由用户自己修改设定(只支持6位密码),锁打开后才能改密码。修改密码之前必须再次输入密码,在输入新密码时候需要二次确以防止误操作。 (3)报警、锁定键盘功能。密码输入用发光管显示,当连续3次输入错误密码,系统声光报警。 电子密码锁的设计主要由三部分组成:4×4矩阵键盘接口电路、密码锁 的控制电路、输出八段显示电路。另外系统还有LED提示灯等。 密码锁设计的关键问题是实现密码的输入、清除、更改、开锁等功能:(1)密码输入功能:按下一个数字键,一个“-”就显示在最右边的数码管上,同时将先前输入的所有“-”向左移动一位。 (2)密码清除功能:当按下清除键时,清除前面输入的所有值,并清除所有显示。 (3)密码更改功能:将输入的值作为新的密码。 (4)开锁功能:当按下开锁键,系统将输入与密码进行检查核对,如果确锁打开,否则不打开。 主要的设计实施过程:首先,选用8051单片机,以及选购其他电子元器件。第二步,设计硬件电路。第三步,编写单片机语言实现功能。第四部,分别进行软件和硬件的调试。最后,联合软、硬件调试整个系统,完成本次课程设计。关键词:4×4矩阵键盘;8051单片机;密码锁;密码二次确认‘ 二、绪论 2.1 背景 随着社会物质财富的日益增长,安全防盗已成为社会问题。而锁自古以来就是把守门户的铁将军,人们对它要求甚高,既要安全可靠地防盗,又要使用方便,这也是制锁者长期以来研制的主题。目前国内,大部分人使用的还是传统的机械锁。然而,眼下假冒伪劣的机械锁泛滥成灾,互开率非常之高。所谓互开率,是各种锁具的一个技术质量标准,也就是1把钥匙能开几把锁的比率。经国家工商局、国家内贸局、中国消协等部门对锁具市场的调查,发现个别产品的互开率居然超标26倍。 为何弹子锁的“互开率”会如此之高?据有关专家人士剖析,弹子锁质量好坏主要取决于弹子数量的多少以及弹子的大小,而弹子的多少和大小受一定条件的限制。此外,即使是一把质量过关的机械锁,通过急开锁,甚至可以在不损坏锁的前提下将锁打开。机械锁的这些弊端为一种新型的锁---电子密码锁,提供了发展的空间。 2.2 电子锁 电子锁是采取电子电路控制,以电磁铁或者卫星电机和锁体作为执行装置的机电一体化锁具,相比传统的机械锁具,电子锁不使用金属钥匙,保密性、精度都有很大提高。 电子锁的发明思路,源自古代发明的自动机械,例如古希腊数学家赫伦的液压自动门,中国古代诸葛亮的木牛流马,它们以重力或蒸汽压力驱动,最广泛的用途乃是用在古代墓道的地

电子密码锁的设计与制作

天津大学网络教育学院 专科毕业论文 题目:电子密码锁的设计与制作 完成期限:2016年1月8日至 2016年4月20日 学习中心:嘉兴 专业名称:电气自动化技术 学生姓名:张伟强 学生学号:132092433077 指导教师:刘斌

电子密码锁的设计与制作 第1章方案选择和总体设计 1.1 国内外现状 目前,最常用的锁是20世纪50年代意大利人设计的机械锁,其机构简单、使用方便、价格便宜。但在使用中暴露了很多缺点:一是机械锁是靠金属制成的钥匙上的不同齿形与锁芯的配合来工作的。据统计,每4000把锁中就有两把锁的钥匙齿牙相同或类似,故安全性低。二是钥匙一旦丢失,无论谁捡到都可以将锁打开。三是机械锁的材料大多为黄铜,质地较软,容易损坏。四是机械锁钥匙易于复制,不适于诸如宾馆等公共场所使用。由于人们对锁的安全性,方便性等性能有更高的要求,许多智能锁(如指纹辨别、IC卡识别)也相继问世,但这类产品的特点是针对特定指纹或有效卡,但能适用于保密要求高且仅供个别人使用的箱、柜、房间,其成本一般较高,在一定程度上限制了这类产品的普及和推广。 随着人们生活水平的提高,电子密码防盗锁作为防盗卫士的作用日趋重要。电子密码防盗锁用密码代替钥匙,不但省去了佩戴钥匙的烦恼,也从根本上解决了普通门锁保密性差的缺点。根据国外的统计资料显示,装有电子防盗装置的商业区或居民区盗窃犯罪率平均下降30%左右。目前西方发达国家已经大量地应用这种智能门禁系统,但在我国的应用还不广泛,成本还很高。 1.2设计目标 利用51系列单片机为核心,采用矩阵键盘作为数字输入;6位数字密码显示;可重新设置新密码,EPROM存储密码,掉电不丢失;当输入密码与存储密码一致时,开锁,并响音乐,若连续三次输入错误,则报警灯亮并且蜂鸣器响。

密码锁实习报告

电子信息工程专业课程设计任务书 题目:基于51单片机的电子密码锁设计 设计内容 设计制作和调试一个由8051MCU组成的密码锁系统。通过这个过程学习熟悉键盘控制使用,掌握51系列单片机控制和测试方法。设计以A T89C51单片机为核心,以4*4矩阵键盘做为系统的密码输入和调整密码,以发光二级管来代替磁力锁,完成基本要求,可以适当发挥进行扩展设计。 1)数码管显示:六位密码; 2)键盘输入密码或密码修改; 设计步骤 一、总体方案设计 其中,采用51系列MCU构成典型电路。可选用适当的芯片;可选用/不选用LED/键盘驱动芯片;建议扩口用74244、74373扩展。 二、硬件选型工作 三、硬件的设计和实现 1. 选择计算机机型(采用51内核的单片机); 2. 设计支持计算机工作的外围电路(EPROM、RAM、I/O 端口、键盘、显示接口电路等); 3. 接口电路; 4. 其它相关电路的设计或方案(电源、通信等)

四、软件设计 1.分配系统资源,编写系统初始化和主程序模块; 2.编写相关子程序; 3.其它程序模块(显示与键盘等处理程序)。 五、编写课程设计说明书,绘制完整的系统电路图(A3幅面)。 课程设计说明书要求 1. 课程设计说明书应采用学院统一印制的课程设计(论文)说明书封面,书写应认真,字迹工整,论文格式参考国家正式出版的书籍和论文编排。 2. 论理正确、逻辑性强、文理通顾、层次分明、表达确切,并提出自己的见解和观点。 3. 课程设计说明书应有目录、摘要、序言、主干内容(按章节编写)、主要结论和参考书,附录应包括程序清单、系统方框图和电路原理图。 4. 课程设计说明书应包括按上述设计步骤进行设计的分析和思考内容和引用的相关知识。 5. 要求打印B5纸,排版要求请向指导教师索取。 6. 每组单独一个题目,每组上限5人。 时间安排 课程设计计划时间4周。课程设计题目于15周下发。课程设计完成后于18周四下午下班前送交电子信息办公室(实验楼三楼),届时指导教师安排短暂答辩。 辅导时间和地点 答疑请直接与指导教师联系。

三星智能电子门锁使用说明

For personal use only in study and research; not for commercial use 电子智能化装备 A、电子门锁使用说明 (1)、特点: 本工程各户的入户门锁,采用的是三星电子门锁,具有以下功能:卡开启功能、 密码开启功能、自动上锁功能、睡眠锁闭功能、声音提示功能、火灾报警功能。(2)、组成: 室外部分室内部分感应卡钥匙锁芯锁销挡片说明书应急钥匙AA电池 (3)、锁体图示: 自动滑盖 密码按键应急电源接口 卡感应区 应急机械钥匙孔 开启执手 内封闭盖 卡储存按钮自动/手动选择按钮遥控器注册键密码注册键 OPEN按钮应急按钮 锁芯指示按钮 手柄 (4)、初始化方法(管理密码、密码、卡、钥匙) 删除所有(管理密码密码卡钥匙)注册信息 方法1:同时按卡注册按钮和遥控器注册按钮5秒→滑盖自动上移后输入初始管理密码→按“*”结束 方法2:同时按卡注册按钮和遥控器注册按钮5秒→滑盖自动上移后输入“80” →按“*”结束 (5)、管理密码注册方法 同时按住open键和密码注册键→滑盖自动上移后输入要注册新管理密码→“*”

→再输一次→“*”结束 注意事项:■在输入过程中,工作指示灯因输入错误而闪烁并发出“滴沥滴沥“的报警音时应从头开始重新输入。 ■出厂的管理密码设为“1234”,管理密码只能更改,不能删除(6)、更改管理密码 同时按住open键和密码注册键→滑盖自动上移后输入原来的管理密码后再按“*” →输入要注册的管理密码后按“*”→再输入一次要注册的管理密码→按“*”结 束 (7)、密码注册方法 按一下密码注册键→滑盖自动上移后输入管理密码再按“*”→输入要注册的密码 后按“*”→再输一次要注册的密码→按“*”结束。 注意事项:■在输入过程中,工作指示灯因输入错误而闪烁并发出“滴沥滴沥“的报警音时应从头开始重新输入。 ■请定期更改密码,密码最好超过6位数。 (8)、删除个别密码 按住密码注册键5秒→滑盖自动上移后输入管理密码再按“*”→输入要删除的密码后按“*”→再输入一次要删除的密码→按“*”结束。 (9)、删除所有已注册的密码 按住密码注册键5秒→滑盖自动上移后输入管理密码再按“*”→再输入一次管理 密码→按“*”结束。 (10)、出入卡注册 按一下注册键→输入管理密码后按“*”→将要注册的出入卡抵在卡的接触部位 若要连续注册多张卡,请在5秒内连续将出入卡抵在卡的接触部位。 (11)、删除所有已注册的出入卡 按住卡注册键5秒→输入管理密码→按“*”结束 ■本操作执行后将删除所有卡的注册信息,不能进行个别出入卡的删除。 特别说明:其它技术细节请见《三星电子锁使用说明书》

大学艺术设计毕业设计任务书

大学XX届艺术设计毕业设计任务书 大学XX届毕业设计任务书 填表须知: [1]本任务书所列各项由指导教师详细填写,以便学生全面了解和正确执行。本任务书经学院、系审定后发给学生,电子稿送交至学院,由学院统一报送至教学科。 [2]学生接到任务书后,应制订好工作计划,填写开题报告,认真进行毕业设计,并按规定时间完成。任务书所列各项内容不得涂改,因特殊情况需要变动的必须经系负责人、教学院长审核同意。 [3]本任务书中“[]”内容为填表解释。毕业设计完成后,本任务书装订在设计前面,交学院存档。 一、基本情况 、学院专业: 艺术设计 学院 环境艺术设计 专业。 2、选题名称:南林大南方学院校园设计—校园中心区景观设计 。 3、指导教师:

金晓雯 ;指导教师职称: 讲师 。 4、协助指导教师: 无 ;协助指导教师职称: 无 。 、学生学号: 071002103 ;学生姓名: 杜芳霞 。 6、导师团成员: 无 。[助教及助教以下职称的指导教师需导师团成员指导] 7、作业期限: XX 年 3 月

日至 XX 年 月 日。 8、作业地点: xx大学 。 9、选题性质: 科研 生产 其它√ 。 0、选题出处:[填写毕业设计选题的具体,例如科研项目名称、生产项目名称等] xx大学 。 二、审批情况 、系意见:

经论证,该毕业设计任务书 [填“符合/不符合”]人才培养方案要求。 系负责人: 年 月 日 2、学院审批意见: 经审核, [填“同意/不同意”]下发该任务书。 教学院长签字或学院盖章: 年 月 日 三、毕业设计任务 、总体要求 进行毕业设计的时间为16周。学生依据导师给定的选题,能编写符合要求的设计说明书,独立进行资料的收集、

设计11 电子密码锁设计任务书

11、电子密码锁设计任务书 一、设计任务 基于单片机技术和Proteus仿真平台,设计单片机技术应用系统,要求采用80C51单片机、4×4阵列式键盘和数码管等设计制作一个电子密码锁。 要求功能如下: (1)开机显示“P”,提示输入密码,密码默认为6位,可以提前随程序固化的方式设置。 (2)通过键盘输入密码,并按确认键完成输入。若密码正确,则门开,用LED发光二极管亮一秒钟作为开门动作,同时发出“叮咚”的提示声。 (3)若密码输入不正确,则禁止按键输入状态3秒,同时发出“嘀、嘀”报警声。 (4)在密码输入过程中,数码显示位为“8.”,对密码进行保护;当输入的密码超过6位时,立即给出报警提示;若过程中输入错误,也可以通过“DEL”键删除刚才输入的错误的数字。 鼓励在以上的基础上创新,例如,加上密码设定模式的功能,可以自由设定更改密码。加上紧急情况密码重置功能和门铃功能等,设计并制作出实物作品。 二、设计原理 该设计主要由四个部分组成:按键输入电路、单片机最小应用系统、数码显示、声光提示及报警电路。主要涉及到阵列式键盘扫描识别技术、动态扫描显示技术、单片机编程及定时中断的应用技术等。关于其中单项技术的原理在前面已经讲过,这里不再重复。这里只对它的设计思想进行阐述: 单片机通过键盘识别读取输入的密码数据并与设定的密码值进行比较,然后给出相应的处理信息。若密码正确,则门开,此处用LED发光二极管亮一秒钟做为提示,同时发出“叮咚”声;若密码不正确,禁止按键输入3秒,同时发出“嘀、嘀”报警声;若在3秒之内仍有按键按下,则禁止按键输入3秒被重新禁止。数码管操作中以动态扫描的方式显示操作提示符。 4×4行列式键盘的按键功能设计如图1所示:

电子密码锁设计报告概要

课程设计说明 书 课程名称:《单片机技术》设计 题目:基于51单片机的电子密码锁 院(部):学生姓名:电子信息与电气工程学院 马亚林 学号: 专业班级:指导教师:12 通信工程(专升本) 丁莹亮 2013 年05月17日

设计题目 课 程 设 计 任 务 书 基于 51 单片机的电子密码锁 学生姓名 设计要求: 12 通信工程(专 升本) 设计以单片机 AT89C51 为核心的电子密码锁,包括电子密码锁完整的设计过程以及外围 的开锁电路和报警电路的设计。 电子密码锁要完成以下部分的设计:按键接口电路、电子密码锁的控制电路、输出 八段显示电路。 电子密码锁控制电路能完成以下功能设计:数字按键的数字输入、存储和清除、功 能按键的功能设计、密码的清除和复位、报警信号产生电路密码核对、解除电锁电路、 输出八段显示电路完成以下电路设计:数据选择电路、八段显示器扫描电路。 学生应完成的工作: 1. 2. 3. 4. 5. 运用 Proteus 软件设计电路原理图; 用 Proteus 软件进行仿真; 焊接电路板并调试实现其功能; 完成实习报告; 我的任务是设计电路原理图并仿真。 参考文献阅读: [1] 杜尚丰. CAN 总线测控技术及其应用.北京:电子工业出版社,2007.1 [2] 杜树春.单片机 C 语言和汇编语言混合编程实例详解.北京:北京航空航天大学出版社,2006.6 工作计划: 2013.5.6 熟悉课题并查阅相关资料,同时消化吸收资料内容; 2013.5.7——2013.5.8 2013.5.9——2013.5.10 根据设计题目确定硬件设计方案,并交与指导老师修改; 开始着手课题的软件设计,与指导老师进行沟通; 2013.5.13 申请领用元器件; 2013.5.14——2013.5.17 进行实物制作,并撰写课程设计报告。 任务下达日期:2013 年 5 月 6 日 任务完成日期:2013 年 5 月 17 日 指导教师(签名): 学生(签名):王立斌 王立斌 所在院部 基于 51 单片机 的电子密码锁 专业、年级、 班

基于单片机的电子密码锁课程设计报告

单片机课程设计 题目基于单片机的电子 密码锁设计

郑州科技学院 单片机课程设计任务书 一、设计题目基于单片机的电子密码锁设计 二、设计任务与要求 (1)本设计为防止密码被窃取在输入密码时屏幕上显示8。 (2)设计开锁密码位为六位密码的电子密码锁。 (3)能够在密码正确时显示“1HELLO”,密码错误时显示“2ERROR”,输入密码的位数时显示为8的个数。 (4)4×4的矩阵键盘中包括0-9的数字键确认键和消除键的功能键。(5)本产品具备报警功能,当输入密码错误时蜂鸣器响 (6)在密码输入过程中,若输入错误,可以利用“C”键删除刚才输入的错误的数字。在输入密码的过程中可以随时对输入的密码进行修改。 三、主要参考文献 [1] 何宏主编.单片机原理与接口技术.[M]北京:国防工业出版社. 2006. 07 [2] 赵益、徐晓林、周振峰. 电子密码锁的系统原理. [M]北京:清华大学出 版社. 2003. [3] 张培仁.基于C语言编程MCS- 51单片机原理与应用.北京:清华大学出版社. 2002. 12 四、设计时间 2015 年01月16日至2015 年01月16 日 指导教师签名: 年月日

目录 1 电子密码锁的背景 (1) 2 总体设计方案的确定 (2) 2.1 电子密码锁设计要求 (2) 2.2 总体设计方案选定 (2) 3 系统硬件设计 (3) 3.1 设计原理 (3) 3.2 单片机STC89C52简介 (3) 3.4七段数码管显示器部分 (7) 3.5 键盘设计 (8) 3.6 蜂鸣器模块 (8) 4 系统软件设计 (9) 4.1 主程序模块 (9) 5 系统制作及调试 (11) 5.1 焊接注意事项 (11) 5.2 硬件调试问题及解决方法 (11) 6 结论 (12) 参考文献 (13) 附录1:实物图 (14)

智能密码锁设计

湖南工程学院 课程设计 课程名称单片机原理及应用 课题名称智能密码锁设计 专业自动化 班级1291 学号 姓名 指导教师 2014 年12 月15 日

湖南工程学院 课程设计任务书 课程名称单片机原理及应用 课题智能密码锁设计 专业班级自动化1291 学生姓名聂利峰 学号201201029135 指导老师王迎旭李晓秀 审批 任务书下达日期2014 年12 月 1 日任务完成日期2014 年12 月15 日

目录 第1章概述 (1) 1.1 设计要求 (1) 1.2 目的及意义 (1) 第2章系统总体方案选择与说明 (2) 2.1系统硬件电路设计框图 (2) 2.2 工作原理 (2) 第3章硬件电路设计 (4) 3.1 时钟电路设计 (4) 3.2 开锁电路设计 (4) 3.3 复位电路设计.................................. .5 3.4 报警电路设计 (5) 3.5 键盘电路设计 (5) 3.6 LED数码管显示电路设计 (6) 3.7 密码存储电路设计 (7) 第4章应用软件设计 (9) 4.1软件设计思路 (9) 4.2各子程序设计 (9) 4.2.1 键盘扫描子程序设计 (9) 4.2.2 L E D显示子程序设计 (11) 4.2.3开锁子程序设计 (11) 4.2.3EEPROM闪存子程序设计 (11) 第5章硬件调试与结果分析 (13) 第6章小结..................................... 致谢............................................ 参考文献.......................................... 附录.......................................... 附录A:系统硬件电路原理图.................... 附录B:源程序清单.............................. 电气与信息工程系课程设计评分表....................

电子密码锁的设计毕业论文

电子密码锁的设计毕业论文 目录 1 绪论 (1) 1.1 引言 (1) 1.2电子锁简介 (2) 1.3电子密码锁的特点 (2) 1.4方案论证与比较 (3) 2 AT89C51单片机概况 (5) 2.1 AT89C51单片机的简介 (5) 2.2 AT89C51单片机的引脚 (6) 2.3 AT89C51单片机复位方式 (8) 3 电路的功能单元设计 (9) 3.1开锁机构 (9) 3.2按键电路设计 (10) 3.3显示电路设计 (12) 3.4AT24C02掉电存储单元的设计 (14) 3.5密码锁的电源电路设计 (15) 3.6设计总框图 (17) 3.7设计总体电路图 (18) 4 程序设计 (19) 4.1主程序流程图 (19)

4.2键盘扫描子程序模块 (20) 4.3数字处理程序模块 (21) 4.4开锁程序 (22) 4.5 密码设置程序 (23) 5 总结 (23) 致谢 (25) 参考文献 (26)

1 绪论 1.1 引言 在日常的生活和工作中, 住宅与部门的安全防、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。密码锁具有安全性高、成本低、功耗低、易操作等优点。 在安全技术防领域,具有防盗报警功能的电子密码锁逐渐代替传统的机械式密码锁,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都大大提高一步。随着大规模集成电路技术的发展,特别是单片机的问世,出现了带微处理器的智能密码锁,它除具有电子密码锁的功能外,还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。 随着人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于要求的箱、柜、门等。而且指纹识识别器若在公共场所使用存在容易机械损坏,IC 卡还存在容易丢失、损坏等特点。加上其成本较高,一定程度上限制了这类产品的普及和推广。鉴于目前的技术水平与市场的接收程度,电子密码锁是这类电子防盗产品的主流。

智能电子密码锁设计

目录 第1章概述 (1) 第2章系统总体方案设计 (2) 2.1系统总体设计框图 (2) 2.2 初步设计思路 (2) 第3章硬件电路设计 (4) 3.1 LED数码管显示电路 (4) 3.2 键盘电路设计 (6) 3.3 开锁电路 (9) 3.4报警电路 (10) 3.5时钟电路 (10) 第4章软件设计 (12) 4.1软件设计思路 (12) 4.2各子程序设计 (13) 4.2.1 键盘扫描子程序 (13) 4.2.2 LED显示子程序 (14) 第5章系统调试 (17) 第6章心得体会 (19) 参考文献 (21) 附录 (22) 附录A:源程序清单 (22) 附录B:硬件原理图 (29) 电气与信息工程系课程设计评分表 (30) 第1章概述 随着科技的发展,单片机已不是一个陌生的名词,它的出现是近代计算机技术发

展史上的一个重要里程碑,因为单片机的诞生标志着计算机正式形成了通用计算机系统和嵌入式计算机系统两大分支。单片机单芯片的微小体积和低的成本,成为现代电子系统中最重要的智能化工具。 本文所涉及的是市场占有率最高的是MCS—51系列,因为世界上很多知名的IC生产厂家都生产51兼容的芯片。到目前为止,MCS—51单片机已有数百个品种,还在不断推出功能更强的新产品。 本设计是基于单片机的密码锁设计方案,根据要求,给出了该单片机密码锁的硬件电路和软件程序,同时给出了单片机型号的选择、硬件设计、软件流程图、单片机存储单元的分配、汇编语言源程序及详细注释等内容。 在安全技术防范领域,具有防盗报警功能的电子密码控制系统逐渐代替传统的机械式密码控制系统,克服了机械式密码控制的密码量少、安全性能差的缺点,使电子密码控制系统无论在技术上还是在性能上都大大提高了一步。随着大规模集成电路技术的发展,特别是单片机的问世,出现了带微处理器的智能密码控制系统,它除具有传统电子密码控制系统的功能外,还引入了智能化管理、专家分析系统等功能,从而使密码控制系统具有很高的安全性、可靠性,应用日益广泛。 第2章系统总体方案设计 2.1系统总体设计框图 系统总体设计方案框图2.1: EEPROM 扩展电路、LED数码管显示电路、LED指示灯电路、蜂鸣器电路、继电器电路等。2.2 初步设计思路

相关文档
相关文档 最新文档