文档库 最新最全的文档下载
当前位置:文档库 › 电子技术实验(6)

电子技术实验(6)

电子技术实验(6)
电子技术实验(6)

实验目的

1. 加深对差动放大器性能及特点的理解

2. 学习差动放大器主要性能指标的测试方法

实验仪器

1、模拟电路实验装置一台

2、数字万用表一只

3、毫伏表一台

4、示波器一台

5. 函数信号发生器一台

实验内容

1.按实验原理图,连接好电路。

2.开关K拨向左边构成典型差动放大器。

(1)测量静态工作点

①调节放大器零点

信号源不接入,将放大器输入端A、B与地短接,接通±12V直流电源,用万用表的直流电压挡测量输出电压UO,调节调零电位器RP,使UO=0。调节要仔细,力求准确。

②测量静态工作点

零点调好以后,用万用表的直流电压挡测量T1、T2管各电极电位及射极电阻Re 两端电压URE,记入表1.4.1。

测量静态工作点:放大器输入端A、B与地短接

3.测量差模电压放大倍数

断开直流电源,将函数信号发生器的输出端接放大器输入A端,信号源的地端(黑夹子)接放大器输入B端构成双端输入方式,调节输入信号为频率f=1KHz的正弦信号,并使信号源的幅度输出旋钮(AMPL)旋至零,用示波器监视输出端(集电极C1或C2与地之间)。

接通±12V直流电源,逐渐增大输入电压Ui(约100mV),在输出波形无失真的情况下,用交流毫伏表测量Ui,UC1,UC2 (注意:毫伏表后面板的开关打到“FLOAT”位置,保证两个被测信号不共地),记入表1.4.2中,并观察ui,uC1,uC2之间的相位关系。

测量差模电压放大倍数:差模信号

表1.4.2

4.测量共模电压放大倍数

将放大器A、B短接,信号源接A端与地之间,构成共模输入方式,调节输入信号f=1kHz,Ui=1V,在输出电压无失真的情况下,测量Ui,UC1,UC2之值记入表1.4.2,并观察ui,uC1,uC2之间的相位关系及URe随Ui改变而变化的情况。

5.具有恒流源的差动放大电路性能测试

将图1.4.1电路中开关K拨向右边,构成具有恒流源的差动放大电路。参照典型差动放大器性能测试的步骤对具有恒流源的差动放大器进行测试,将测得的静态工作点填入自行设计的表格中,而后测量表1.4.2右侧的相关数据。

测量差模电压放大倍数:差模信号

实验总结

1.计算静态工作点、差模共模电压放大倍数和共模抑制比CMRR 。

2.整理实验数据,列表比较实验结果和理论估算值,分析误差原因

3.回答思考题,总结实验收获。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电子技术实验

半导体器件的测试实验 实验组号__ __学号姓名 实验日期成绩____ ___指导教师签名 一、实验目的 学会用万用表测试二极管、三极管的性能好坏,管脚排列。 二、实验器材 1.万用表1只(指针式)。 2.二极管、三极管若干。 三、注意事项: 1.选择合适的量程,使万用表指针落在万用表刻度盘中间的位置为佳。 2.测试电阻前应先调零。 3.测量时不要同时用手接触元件的两个引脚。 4.测量完毕时应将万用表的转换开关转向off位置或交流最高电压档。 5.不能用万用表测试工作中的元件电阻! 四、实验内容 1.半导体二极管的测试 ◆半导体二极管的测试要点: 用指针式万用表测二极管的正反向电阻,当测得阻值较小的情况下,黑笔所接的极是二极管的正极。 (1)整流二极管的测试 将万用表置于R?100Ω或R?1kΩ电阻档并调零,测量二极管的正、反向电阻,判断其极性和性能好坏,把测量结果填入表1中。 (2 将万用表置于R?10kΩ电阻档并调零,测量二极管的正、反向电阻,判断其极性和性能好坏,把测量结果填入表2中。 2.半导体三极管的测试 ◆半导体三极管的测试要点: 将万用表置于R?100Ω或R?1kΩ电阻档并调零。 ①首先判基极和管型 ?黑笔固定某一极,红笔分别测另两极,当测得两个阻值均较小时,黑笔所接的极是基

?红笔固定某一极,黑笔分别测另两极,当测得两个阻值均较小时,红笔所接的极是基极,所测的晶体管是PNP管。 ②其次判集电极和发射极 ?对于NPN管:用手捏住基极和假设的集电极(两极不能短接),黑笔接假设的集电极,红笔接假设的发射极,观察所测电阻的大小。然后将刚才假设的集电极和发射极对调位置,再重测一次,当测得电阻值较小时,黑笔所接的是集电极,另一电级是发射极?对于PNP管:用手捏住基极和假设的集电极(两极不能短接),红笔接假设的集电极,黑笔接假设的发射极,观察所测电阻的大小。然后将刚才假设的集电极和发射极对调位置,再重测一次,当测得电阻值较小时,红笔所接的是集电极,另一电级是发射极。(1)将万用表置于R?100Ω或R?1kΩ电阻档并调零,判别三极管的引脚排列、管型和性能好坏,把测量结果填入表3中。 (2)将万用表置于h fe档(×10Ω档并调零),测量三极管的β值,把测量结果填入表4中。 五、实验分析 1.用万用表的R?100Ω或R?1kΩ电阻档测量同一只二极管的正反向电阻值时,测量值为什么不同? 2.为什么不能用R?1Ω或R?10kΩ电阻档测量小功率晶体管?

微电子技术的发展历史与前景展望

微电子技术的发展历史与前景展望 姓名:张海洋班级:12电本一学号:1250720044 摘要:微电子是影响一个国家发展的重要因素,在国家的经济发展中占有举 足轻重的地位,本文简要介绍微电子的发展史,并且从光刻技术、氧化和扩散技术、多层布线技术和电容器材料技术等技术对微电子技术做前景展望。 关键词:微电子晶体管集成电路半导体。 微电子学是研究在固体(主要是半导体)材料上构成的微小型化电路、电路及系统的电子学分支,它主要研究电子或粒子在固体材料中的运动规律及其应用,并利用它实现信号处理功能的科学,以实现电路的系统和集成为目的,实用性强。微电子产业是基础性产业,是信息产业的核心技术,它之所以发展得如此之快,除了技术本身对国民经济的巨大贡献之外,还与它极强的渗透性有关。 微电子学兴起在现代,在1883年,爱迪生把一根钢丝电极封入灯泡,靠近灯丝,发现碳丝加热后,铜丝上有微弱的电流通过,这就是所谓的“爱迪生效应”。电子的发现,证实“爱迪生效应”是热电子发射效应。 英国另一位科学家弗莱明首先看到了它的实用价值,1904年,他进一步发现,有热电极和冷电极两个电极的真空管,对于从空气中传来的交变无线电波具有“检波器”的作用,他把这种管子称为“热离子管”,并在英国取得了专利。这就是“二极真空电子管”。自此,晶体管就有了一个雏形。 在1947年,临近圣诞节的时候,在贝尔实验室内,一个半导体材料与一个弯支架被堆放在了一起,世界上第一个晶体管就诞生了,由于晶体管有着比电子管更好的性能,所以在此后的10年内,晶体管飞速发展。 1958年,德州仪器的工程师Jack Kilby将三种电子元件结合到一片小小的硅片上,制出了世界上第一个集成电路(IC)。到1959年,就有人尝试着使用硅来制造集成电路,这个时期,实用硅平面IC制造飞速发展.。 第二年,也是在贝尔实验室,D. Kahng和Martin Atalla发明了MOSFET,因为MOSFET制造成本低廉与使用面积较小、高整合度的特点,集成电路可以变得很小。至此,微电子学已经发展到了一定的高度。 然后就是在1965年,摩尔对集成电路做出了一个大胆的预测:集成电路的芯片集成度将以四年翻两番,而成本却成比例的递减。在当时,这种预测看起来是不可思议,但是现在事实证明,摩尔的预测诗完全正确的。 接下来,就是Intel制造出了一系列的CPU芯片,将我们完全的带入了信息时代。 由上面我们可以看出,微电子技术是当代发展最快的技术之一,是电子信息产业的基础和心脏。时至今日,微电子技术变得更加重要,无论是在航天航空技术、遥测传感技术、通讯技术、计算机技术、网络技术或家用电器产业,都离不开微电子技术的发展。甚至是在现代战争中,微电子技术也是随处可见。在我国,已经把电子信息产业列为国民经济的支拄性产业,微电子信息技术在我国也正受到越来越多的关注,其重要性也不言而喻,如今,微电子技术已成为衡量一个国家科学技术进步和综合国力的重要标志,微电子科学技术的发展水平和产业规模是一个国家经济实力的重要标志。

微电子实验报告一

实验一MOS管的基本特性 班级姓名学号指导老师袁文澹 一、实验目的 1、熟练掌握仿真工具Hspice相关语法; 2、熟练掌握MOS管基本特性; 3、掌握使用HSPICE对MOS电路进行SPICE仿真,以得到MOS电路的I-V曲线。 二、实验内容及要求 1、熟悉Hspice仿真工具; 2、使用Hspice仿真MOS的输出特性,当VGs从0~5V变化,Vds分别从1V、2V、3V、4V 和5V时的输出特性曲线; 三、实验原理 1、N沟道增强型MOS管电路图 a)当Vds=0时,Vgs=0的话不会有电流,即输出电流Id=0。 b)当Vgs是小于开启电压的一个确定值,不管Vds如何变化,输出电流Id都不会改变。 c)当Vgs是大于开启电压的一个确定值,在一定范围内增大Vds时,输出电流Id增大。但当 出现预夹断之后,再增大Vds,输出电流Id不会再变化。 2、NMOS管的输出特性曲线

四、实验方法与步骤 实验方法: 计算机平台:(在戴尔计算机平台、Windows XP操作系统。) 软件仿真平台:(在VMware和Hspice软件仿真平台上。) 实验步骤: 1、编写源代码。按照实验要求,在记事本上编写MOS管输出特性曲线的描述代码。并以aaa.sp 文件扩展名存储文件。 2、打开Hspice软件平台,点击File中的aaa.sp一个文件。 3、编译与调试。确定源代码文件为当前工程文件,点击Complier进行文件编译。编译结果有错误或警告,则将要调试修改直至文件编译成功。 4、软件仿真运行及验证。在编译成功后,点击simulate开始仿真运行。点击Edit LL单步运行查看结果,无错误后点击Avanwaves按照程序所述对比仿真结果。 5、断点设置与仿真。… 6、仿真平台各结果信息说明. 五、实验仿真结果及其分析 1、仿真过程 1)源代码 *Sample netlist for GSMC $对接下来的网表进行分析 .TEMP 25.0000 $温度仿真设定 .option abstol=1e-6 reltol=1e-6 post ingold $设定abstol,reltol的参数值 .lib 'gd018.l' TT $使用库文件 * --- Voltage Sources --- vdd VDD 0 dc=1.8 $分析电压源 vgs g 0 0 $分析栅源电压 vds d 0 dc=5 $分析漏源电压 vbs b 0 dc=0 $分析衬源电压 * --- Inverter Subcircuit --- Mnmos d g 0 b NCH W=30U L=6U $Nmos管的一些参数 * --- Transient Analysis --- .dc vds 0 5 0.1 SWEEP vgs 1 5 1 $双参数直流扫描分析 $vds从0V~5V,仿真有效点间隔取0.1 $vgs取1V、2V、3V、4V、5V

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

微电子科学与工程专业本科培养计划

微电子科学与工程专业本科培养计划 Undergraduate Program for Specialty in Microelectronic Science and Engineering 一、培养目标 Ⅰ.Program Objectives 本专业培养掌握微电子科学与工程专业必需的基础知识、基本理论和基本实验技能,能够从事该领域的各种微电子材料、器件、封装、测试、集成电路设计与系统的科研、教学、科技开发、工程技术、生产管理等工作的高级专门人才。 This program trains advanced talents with basic knowledge, theory and experimental skills necessary for Microelectronic Science and Engineering. These talents can be engaged in various works in microelectronic materials, devices, packaging, testing, integrated circuit design and system as well as the scientific research, education, technique development, engineering technology, production management. 二、基本规格要求 Ⅱ.Learning Outcomes 毕业生应获得以下几个方面的知识和能力: 1、具有扎实的自然科学基础,良好的人文社会科学基础和外语能力; 2、掌握本专业领域较宽的基础理论知识,主要包括固体物理、半导体物理、微电子材料、微电子器件、集成电路设计等方面的基础理论知识;在本专业领域内具备从事科学研究的能力; 3、受到良好的工程实践训练,掌握各种微电子器件与集成电路的分析、设计与制造方法,具有独立进行微电子材料及器件性能分析、集成电路设计、微电子工艺流程的基本能力;具备一定的工程开发和组织管理能力; 4、了解本专业的最新发展动态和发展前景,了解微电子产业的发展状况。 The program requires that the learners have the knowledge and abilities listed as follows: 1. Have solid foundation in natural science, basic fine knowledge in humanities and social sciences

微电子综合实验报告

微电子综合实验报告实验题目:⒚同或门电路仿真 班级:电子科学与技术1201 姓名:XXX 学号:XXX 时间:2015.5—2015.6

一、电路图。 OUT A B (IN1) (IN2) 分别给上图中的每个管子和结点标注,如下所述: P管分别标记为:MP1、MP2、MP3;N管分别标记为:MN1、MN2、MP3;A、B端分别标记为:IN1、IN2;输出端标记为:OUT;N 管之间连接点标记为:1;连接反相器的点标记为:2;如上图所示。 其真值表如下所示:

二、电路仿真表。 *dounand MN1 1 IN1 0 0 NMOS L=0.6U W=2.4U MN2 2 IN2 1 0 NMOS L=0.6U W=2.4U MN3 OUT 2 0 0 NMOS L=0.6U W=2.4U MP1 IN2 IN1 2 VDD PMOS L=0.6U W=4.4U MP2 IN1 IN2 2 VDD PMOS L=0.6U W=4.4U MP3 OUT 2 VDD VDD PMOS L=0.6U W=4.4U VDD VDD 0 DC 5V VIN1 IN1 0 PULSE(0 5 0 0.1N 0.1N 5N 10N) VIN2 IN2 0 PULSE(0 5 0 0.1N 0.1N 10N 20N) .TRAN 1N 100N UIC .LIB './HJ.L' TT .END 下图为无负载电容,IN1=10ns,IN2=20ns时的波形图。 从图中可以发现,本来输出应该是5v,实际输出只有4.8v,可见输出有阈值损失。 原因是N管传高电平、P管传低电平时,输出半幅,所以存在阈值损失。 三、输出加负载电容。 1、C=0.2p ;IN1=10ns ;IN2=20ns 时波形如下:

电子技术实验指导..

电子技术实验指导 电子技术实验,实验仪器与被测电路的基本连接方法,如图1所示。 实验1 共发射极单级放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图1-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路由B1R 和B2R 分压电路组成,发射极接有电阻E R ,以稳定放大器的静态工作点。当放大器的输入端加入输入信号i u 后,在放大器的输出端便可得到一个与i u 相位相反、幅值被放大了的输出信号o u ,从而实现电压放大。 图1 测量模拟电子电路常用电子仪器的接法

在图1-1电路中,当流过偏置电阻B1R 和B2R 的电流远大于晶体管T 的基极电流B I 时(一般大5~10倍),它的静态工作点可用下式估算。 2 12 B B C C B B R U U R R ≈+, B B E C E U U I R -≈, C B I I β=,)(E C C CC CE R R I U U +-= 放大器的动态参数,电压放大倍数为 1 )1(//E be L C V R r R R A ββ ++-= 输入电阻为 121//[(1)]i B B be E R R R r R β=//++ 输出电阻为 C o R R ≈ 由于电子器件性能的分散性比较大,因此在设计和制作晶体管放大电路时,离不开测量和调试技术。在设计前应测量所有元器件的参数,为电路设计提供必要的依据,在完成设计和配装以后,还必须测量和调试放大器的静态工作点和各项性能指标。一个优质的放大器,必须是理论设计与实验调整相结合的产物。因此,除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量与调试技术。 放大器的测量和调试包括:放大器静态工作点的测量与调试和放大器动态参数的测量与调试等。 1、放大器静态工作点的测量与调试 (1)静态工作点的测量:测量放大器的静态工作点,应在输入信号0=i u 的情况下进行。将放大器输入端与地端短接,用直流电压表分别测量晶体管各电极对地的电位B U 、C U 和E U 。然后算出 C I ≈E I =E U /E R ;BE U =B U —E U ,CE U =C U —E U 。为了减少误差,提高测量精度,应选用内阻 较高的直流电压表。 (2)静态工作点的调试:是指对管子集电流C I (或CE U )的调整与测试。 静态工作点是否合适,对放大器的性能和输出波形都有很大影响。以NPN 型三极管为例,如果工作点偏高,放大器易产生饱和失真,此时o u 的负半周被缩底,如图1-2a 所示。如果工作点偏低则易产生截止失真,即o u 的正半周被缩顶,如图1-2b 所示。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端加入一定的i u ,检查输出电压o u 的大小和波形是否满足要求。如果不满足,则应调节静态工作点。 改变电路参数CC U 、C R 、B R (1B R 、2B R )都会引起静态工作点的变化,通常采用调节偏置电阻2B R 的方法来改变静态工作点,如减小2B R ,可使静态工作点提高。 最后还要说明的是:工作点“偏高”或“偏低”不是 绝对的,是相对信号的幅度而言,如果信号幅度很小,即使工作点较高或较低也不一定会出现失真。所以确切的说,产生波形失真是信号幅度与静态工作点设置配合不当所致。如需满足较大信号幅度的要求,静态工作点最好靠近交流负载的中点。 (a)截止失真 (b)饱和失真 图1-2 静态工作点对o u 的影响

微电子技术概论期末试题

《微电子技术概论》期末复习题 试卷结构: 填空题40分,40个空,每空1分, 选择题30分,15道题,每题2分, 问答题30分,5道题,每题6分 填空题 1.微电子学是以实现电路和系统的集成为目的的。 2.微电子学中实现的电路和系统又称为集成电路和集成系统,是微小化的。 3.集成电路封装的类型非常多样化。按管壳的材料可以分为金属封装、陶瓷封装和塑料封装。 4.材料按其导电性能的差异可以分为三类:导体、半导体和绝缘体。 5. 迁移率是载流子在电场作用下运动速度的快慢的量度。 6.PN 结的最基本性质之一就是其具有单向导电性。 7.根据不同的击穿机理,PN 结击穿主要分为雪崩击穿和隧道击穿这两种电击穿。 8.隧道击穿主要取决于空间电荷区中的最大电场。 9. PN结电容效应是PN结的一个基本特性。 10.PN结总的电容应该包括势垒电容和扩散电容之和。 11.在正常使用条件下,晶体管的发射结加正向小电压,称为正向偏置,集电结加反向大电压,称为反向偏置。 12.晶体管的直流特性曲线是指晶体管的输入和输出电流-电压关系曲线, 13.晶体管的直流特性曲线可以分为三个区域:放大区,饱和区,截止区。 14.晶体管在满足一定条件时,它可以工作在放大、饱和、截止三个区域中。 15.双极型晶体管可以作为放大晶体管,也可以作为开关来使用,在电路中得到了大量的应用。 16. 一般情况下开关管的工作电压为 5V ,放大管的工作电压为 20V 。 17. 在N 型半导体中电子是多子,空穴是少子; 18. 在P 型半导体中空穴是多子,电子是少子。 19. 所谓模拟信号,是指幅度随时间连续变化的信号。 20. 收音机、收录机、音响设备及电视机中接收、放大的音频信号、电视信号是模拟信号。 21. 所谓数字信号,指在时间上和幅度上离散取值的信号。 22. 计算机中运行的信号是脉冲信号,但这些脉冲信号均代表着确切的数字,因而又叫做数字信号。 23. 半导体集成电路是采用半导体工艺技术,在硅基片上制作包括电阻、电容、二极

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

电子技术实验(6)

实验目的 1. 加深对差动放大器性能及特点的理解 2. 学习差动放大器主要性能指标的测试方法 实验仪器 1、模拟电路实验装置一台 2、数字万用表一只 3、毫伏表一台 4、示波器一台 5. 函数信号发生器一台 实验内容 1.按实验原理图,连接好电路。 2.开关K拨向左边构成典型差动放大器。 (1)测量静态工作点 ①调节放大器零点 信号源不接入,将放大器输入端A、B与地短接,接通±12V直流电源,用万用表的直流电压挡测量输出电压UO,调节调零电位器RP,使UO=0。调节要仔细,力求准确。 ②测量静态工作点 零点调好以后,用万用表的直流电压挡测量T1、T2管各电极电位及射极电阻Re 两端电压URE,记入表1.4.1。 测量静态工作点:放大器输入端A、B与地短接 3.测量差模电压放大倍数 断开直流电源,将函数信号发生器的输出端接放大器输入A端,信号源的地端(黑夹子)接放大器输入B端构成双端输入方式,调节输入信号为频率f=1KHz的正弦信号,并使信号源的幅度输出旋钮(AMPL)旋至零,用示波器监视输出端(集电极C1或C2与地之间)。

接通±12V直流电源,逐渐增大输入电压Ui(约100mV),在输出波形无失真的情况下,用交流毫伏表测量Ui,UC1,UC2 (注意:毫伏表后面板的开关打到“FLOAT”位置,保证两个被测信号不共地),记入表1.4.2中,并观察ui,uC1,uC2之间的相位关系。 测量差模电压放大倍数:差模信号 表1.4.2 4.测量共模电压放大倍数 将放大器A、B短接,信号源接A端与地之间,构成共模输入方式,调节输入信号f=1kHz,Ui=1V,在输出电压无失真的情况下,测量Ui,UC1,UC2之值记入表1.4.2,并观察ui,uC1,uC2之间的相位关系及URe随Ui改变而变化的情况。 5.具有恒流源的差动放大电路性能测试 将图1.4.1电路中开关K拨向右边,构成具有恒流源的差动放大电路。参照典型差动放大器性能测试的步骤对具有恒流源的差动放大器进行测试,将测得的静态工作点填入自行设计的表格中,而后测量表1.4.2右侧的相关数据。 测量差模电压放大倍数:差模信号 实验总结 1.计算静态工作点、差模共模电压放大倍数和共模抑制比CMRR 。 2.整理实验数据,列表比较实验结果和理论估算值,分析误差原因 3.回答思考题,总结实验收获。

(完整版)微电子技术发展现状与趋势

本文由jschen63贡献 ppt文档可能在WAP端浏览体验不佳。建议您优先选择TXT,或下载源文件到本机查看。 微电子技术的发展 主要内容 微电子技术概述;微电子发展历史及特点;微电子前沿技术;微电子技术在军事中的应用。 2010-11-26 北京理工大学微电子所 2 2010-11-26 北京理工大学微电子所 3 工艺流程图 厚膜、深刻蚀、次数少多次重复 去除 刻刻蚀 牺牲层,释放结构 多 工艺 工工艺 2010-11-26 工 5 微电子技术概述 微电子技术是随着集成电路,尤其是超大规模集成电路而发展起来的一门新的技术。微电子技术包括系统电路设计、器件物理、工艺技术、材料制备、自动测试以及封装、组装等一系列专门的技术,微电子技术是微电子学中的各项工艺技术的总和;微电子学是一门发展极为迅速的学科,高集成度、低功耗、高性能、高可靠性是微电子学发展的方向;衡量微电子技术进步的标志要在三个方面:一是缩小芯片中器件结构的尺寸,即缩小加工线条的宽度;二是增加芯片中所包含的元器件的数量,即扩大集成规模;三是开拓有针对性的设计应用。 2010-11-26 北京理工大学微电子所 6 微电子技术的发展历史 1947年晶体管的发明;到1958年前后已研究成功以这种组件为基础的混合组件; 1962年生产出晶体管——晶体管逻辑电路和发射极耦合逻辑电路;由于MOS电路在高度集成和功耗方面的优点,70 年代,微电子技术进入了MOS电路时代;随着集成密度日益提高,集成电路正向集成系统发展,电路的设计也日益复杂、费时和昂贵。实际上如果没有计算机的辅助,较复杂的大规模集成电路的设计是不可能的。 2010-11-26 北京理工大学微电子所 7 微电子技术的发展特点 超高速:从1958年TI研制出第一个集成电路触发器算起,到2003年Intel推出的奔腾4处理器(包含5500 万个晶体管)和512Mb DRAM(包含超过5亿个晶体管),集成电路年平均增长率达到45%;辐射面广:集成电路的快速发展,极大的影响了社会的方方面面,因此微电子产业被列为支柱产业。

电工电子工艺基础实验报告完整版

电工电子工艺基础实验报告完整版 电工电子工艺基础实验报告专业年级: 学号: 姓名: 指导教师: 2013 年 10 月 7 日

目录 一.手工焊点焊接方法与工艺,贴片、通孔元器件焊接工艺。 二.简述磁控声光报警器的工作原理,画出电路组成框图,实物图片。 三.简述ZX—2005型稳压源/充电器的工作原理,画出电路组成框图,实物图片;附上实习报告。四.简述流水灯工作原理,画出电路组成框图,实物图。 五.简述ZX2031FM微型贴片收音机的工作原理,画出电路组成框图,实物图。 六.简述HTDZ1208型—复合管OTL音频功率放大器的工作原理,画出电路组成框图,实物图。七.总的实训体会,收获,意见。 一.手工焊点焊接方法与工艺,贴片、通孔元器件焊接工艺。 (1)电烙铁的拿法 反握法:动作稳定,不易疲劳,适于大功率焊接。 正握法:适于中等功率电烙铁的操作。

握笔法:一般多采用握笔法,适于轻巧型的电烙铁,其 烙铁头就是直的,头端锉成一个斜面或圆锥状,适于焊 接面积较小的焊盘。 (2)焊锡的拿法 (3)焊接操作五步法 左手拿焊条,右手拿焊铁,处于随时可焊状态。 加热焊件、送入焊条、移开焊条、移开电烙铁。(4)采用正确的加热方法 让焊件上需要锡侵润的各部分均匀受热 (5)撤离电烙铁的方法 撤离电烙铁应及时,撤离时应垂直向上撤离 (6)焊点的质量要求 有可靠的机械强度、有可靠的电气连接。 (7)合格焊点的外观 焊点形状近似圆锥体,椎体表面呈直线型、表面光泽 且平滑、焊点匀称,呈拉开裙状、无裂纹针孔夹 渣。 (8)常见焊点缺陷分析 二.简述磁控声光报警器的工作原理,画出

电工电子技术实验六预习

电工电子技术实验实验六预习报告 实验名称:三相异步电动机的继电接触控制及门电路 一、电动机的正、反转控制 1、熟悉电动机正、反转控制电路,并分析异步电动机正、反转控制及自锁、互锁的工作原 理 (简要分析写入实验报告中) 二、三变量表决电路 1、按照实验指导书中的P54的图15-1建立三变量表决仿真电路,其中输入A、B、C分别接 高低电平控制开关,输出利用发光二极管显示; 1)高低电平控制开关选择Basic 中SWITCH,型号选SPDT,分别连接VCC=5V和 GROUND 代表高、低电平,参考实验指导书P113: 2)发光二极管选择Place Diodes中的LED,颜色任选,但需串联一合适电阻; 3)与非门选择TTL中74STD,7400N、7410N、74R0N分别为两、三以及四输入与非门; 2、改变输入A、B、C的高低电平变化,观察发光二极管的显示,发亮为1,不亮为0,并将 结果填入下表: 表1 三变量表决电路测量

三、半加器 1、按照实验指导书中的P55的图15-3,利用异或门和与非门建立半加器仿真电路: 提示:异或门选择TTL中74STD,型号为7486N 2、改变输入A、B的高低电平变化,观察C、S对应发光二极管的显示,并将结果填入下表: 表R 半加器电路测量

四、全加器 1、按照实验指导书中的P55的图15-4,利用异或门和与非门建立全加器仿真电路: 2、改变输入A i、B i、C i-1的高低电平变化,观察S i、C i的变化,并将结果填入下表:

表3 全加器电路测量

五、抢答显示器电路 1、按照实验指导书中的P56的图15-5,建立抢答器仿真电路: 2、改变输入A、B、C的高低电平变化,观察Y1、Y R、Y3的变化,并将结果填入下表: 表4 抢答状态结果

微电子科学与工程专业

微电子科学与工程专业 一、培养目标 本专业培养德、智、体等方面全面发展,具备微电子科学与工程专业扎实的自然科学基础、系统的专业知识和较强的实验技能与工程实践能力,能在微电子科学技术领域从事研究、开发、制造和管理等方面工作的专门人才。 二、专业特色 微电子科学与工程是在物理学、电子学、材料科学、计算机科学、集成电路设计制造学等多个学科和超净、超纯、超精细加工技术基础上发展起来的一门新兴学科。微电子技术是近半个世纪以来得到迅猛发展的一门高科技应用性学科,是21世纪电子科学技术与信息科学技术的先导和基础,是发展现代高新技术和国民经济现代化的重要基础,被誉为现代信息产业的心脏和高科技的原动力。本专业主要学习半导体器件物理、功能电子材料、固体电子器件,集成电路设计与制造技术、微机械电子系统以及计算机辅助设计制造技术等方面的基础知识和实践技能,培养出来的学生在微电子技术领域初步具有研究和开发的能力。 三、培养标准 本专业学生要求在物理学、电子技术、计算机技术和微电子学等方面掌握扎实的基础理论,掌握微电子器件及集成电路的原理、设计、制造、封装与应用技术,接受相关实验技术的良好训练,掌握文献资料检索基本方法,具有较强的实验技能与工程实践能力,在微电子科学与工程领域初步具有研究和开发的能力。 毕业生应获得以下几方面的知识和能力: 1. 具有较好的人文科学素养、创新精神和开阔的科学视野; 2. 树立终身学习理念,具有较强的在未来生活和工作中继续学习的能力; 3. 具有较扎实的自然科学基本理论基础; 4. 具备微电子材料、微电子器件、集成电路、集成系统、计算机辅助设计、封装技术和测试技术等方面的理论基础和实验技能; 5. 了解本专业领域的科技发展动态及产业发展状况,熟悉国家电子信息产业政策及国内外有关知识产权的法律法规; 6.掌握文献检索及运用现代信息技术获取相关信息的基本方法; 7.具有归纳、整理和分析实验结果以及撰写论文、报告和参与学术交流的能力。 77

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

华桥大学微电子器件与电路实验实验报告IC2019实验2

实验报告)微电子器件与电路实验(集成 学号实验时间姓名 2019.04 实验成绩实验操作教师签字 实验二集成二极管电学特性分析实验名称(1)计算机 (2)操作系统:Centos 实验设备TSMC RF0.18um工艺模型软件平台:Cadence Virtuoso (4)(3)1.掌握变量扫描分析、OP分析、DC Sweep下分析器件电学模型参数 2.掌握二极管电流和结面积和结周长关系,加深对集成二极管电学特性的理解实验目的特性的测试方法 3.掌握二极管CV 掌握单边突变结二极管掺杂浓度测量方法 4.实验 要求 1. 实验前按要求阅读器件说明文档,阅读实验操作文档,熟悉实验过程及操作步骤 2. 实验过程中按实验报告要求操作、仿真、记录数据(波形) 3. 实验结果经指导老师检查、验收,经允许后方可关机,离开实验室 ,、实验后按要求处理数据和波形,回答问题。实验报告打印后,于下次实验时间缴交。3实验内容: 【20%】 2.1 集成二极管电流随结面积变化特性(变量分析)实验对给定的二极管固定二极管的L,然后对二极管结W进行变量分析,测得二极管电流和结面积之间的关系曲线,通过曲线斜率估计二极管电流和结面积是否满足线性关系,回答思考题1 【20%】分析)2.2 实验集成二极管电流随结周长变化特性(OP使用不同结周长的二极管单元并联成结面积相同的二极管器件,测得相同偏置条件下的二极管电流,通过对比不同二极管电流之间的差异,确定二极管电流和结周长的关系,回答思考题2 【30%】 CV特性测试(DC分析下器件电学模型参数分析)集成二极管实验2.3 对给定结面积的二极管进行DC分析,分析二极管结电容和反偏电压之间的关系,测得CV特性曲线。并根据《微电子器件与电路》所学知识,回答思考题3、4、5。 【30%】实验2.4 集成二极管内建电势差及掺杂浓度测量2测试不同结电压下单边突变结二极管的单位结面积电容,根据单边突变结1/C关系曲线特点计算得到二极管的掺杂浓度和内建电势差。

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在 频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交替” 和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示方式, 当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描速率”(t/div)

相关文档
相关文档 最新文档