文档库 最新最全的文档下载
当前位置:文档库 › 自动售货机控制系统

自动售货机控制系统

自动售货机控制系统
自动售货机控制系统

电子课程设计

——自动售货机控制系统

学院:电子信息工程学院

专业、班级:通信101501

姓名:黄兆凯

学号:201015030106

指导教师:李东红

2012年12月

目录

一、设计任务与要求 (1)

(1)基本要求 (1)

(2)提高要求 (1)

二、总体框图 (1)

(1)设计思路 (1)

(2)系统原理 (1)

三、器件选择 (2)

四、功能模块 (2)

(1)功能控制模块 (2)

(3)输入信号处理模块 (5)

五、总体设计电路说明 (6)

(1)系统仿真 (7)

(2)硬件验证(操作) (8)

六、总结 (9)

自动售货机控制系统

一、设计任务与要求

(1)基本设计要求

设计一个模拟自动售货机的逻辑控制电路

1、它有两个投币口分别为一元投币口和五角投币口。

2、每次只能投入一枚一元或五角硬币。

3、投入一元五角硬币后机器自动给出一瓶矿泉水。

投入两元硬币后,在给出一瓶矿泉水的同时找回一枚五角的硬币。

(2)提高要求

1、增加一个五元投币口和出水数量选择按钮,一个一元的找钱端口。

二、总体框图

1、设计思路

根据设计要求可以对售货机的基本功能进行确定。它应该能够区分一元和五角硬币,并且能够对投入的货币进行累加。当所投币值达到商品售价。就自动送出商品。因为题目要求只有一种商品并且其售价为一元五。根据这一特殊点可设计方案如下:用两个开关分别模拟投入一元和五角硬币时产生的信号,高电平有效。用两颗LED分别模拟售出商品和找钱信号,灯灭有效。

2、系统原理

自动售货机的所有信号均由同步时钟信号clk的正边沿触发。由于售货机的硬币接受器一般是一个机械设置装置,投币时产生的信号要比同步信号clk要慢得多,一般可持续好几个周期,必须对投币输入信号进行处理,使每一次投币时在clk脉冲周期内只能产生一个脉冲作为功能控制模块的投币驱动信号。因此可将该系统分为两个模块。

如图一:

Shuru SHJ_CTRL

(图一)自动售货机控制系统各模块连接图

自动售货机控制系统模块之间连接如图一所示,其中“shuru”为投币输入信号处理模块,它是利用D触发器和几个门电路组成的一个简单时序逻辑电路。主要起到对输入信号进行存储和延时的作用。其输出信号作为功能控制模块“SHJ_CTRL”的输入驱动信号。功能控制模块“SHJ_CTRL”则用VHDL语言实现。它主要起到对输入信号进行分析处理的作用。是整个系统的核心。其中,OUT为自动售货机的矿泉水输出信号,ZHAOQIAN为找钱输出信号。

三、器件选择

1、装有QuartusII软件的计算机一台。

2、芯片:使用altera公司生产的Cyclone系列芯片,FPGA芯片。

3、EDA实验箱一个。

4、下载接口是数字芯片的下载接口(JTAG)主要用于FPGA芯片的数据下载。

5、拨码开关调用:

VGA[3..0]设置为0001,管脚的分配是固定的,其对应管脚是:

VGA[0] PIN162

VGA[1] PIN161

VGA[2] PIN164

VGA[3] PIN163

四、功能模块

1、功能控制模块

1)自动售货机控制功能的状态描述

取投币信号为输入逻辑变量,投入一枚一元硬币时用A=1表示,未投入时A=0。投入一枚五角硬币用B=1表示,未投入时B=0。给出矿泉水和找钱为两个输出变量,分别用Z和Y表示,给出矿泉水时Z=1,不给时Z=0,找回一枚五角

硬币时Y=1,不找时Y=0。

根据上面的功能描述,可用三个状态S0,S1,S2表示,未投币前的初始状态为S0,投入五角硬币以后为S1,投入一元硬币后(包括投入一枚一元硬币和投入两枚五角硬币的情况)为S2,再投入一枚五角硬币后电路返回S0,同时输出为Z=1,Y=0;如果投入的是一枚一元硬币,则电路也应能返回S0,同时输出为Z=1,Y=1。根据上面的分析可得到状态转换图如图二所示。

00/00

(图二)状态图

2)自动售货机功能控制模块的VHDL实现

根据上面所示的状态转换图,用VHDL语言中的CASE_WHEN结构和

IF_THEN_ELSE语句实现控制功能,源程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY shj_ctrl IS --实体

PORT(A,B:IN STD_LOGIC;

clk:IN STD_LOGIC;

Z,Y:OUT STD_LOGIC); --器件端口描述

END shj_ctrl ;

ARCHITECTURE beha OF shj_ctrl IS --结构体

TYPE states IS(S0,S1,S2);

SIGNAL state:states;

SIGNAL X:STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN

X<=A&B;

PROCESS(x,state)

BEGIN

IF rising_edge(clk) THEN

CASE state IS

WHEN S0=>Z<='0';Y<='0';

IF(X="01")THEN

state<=s1;

ELSIF(X="10")THEN

state<=s2;

ELSE

state<=s0;

END IF;

WHEN S1=>Z<='0';Y<='0';

IF(X="01")THEN

state<=s2;

ELSIF(X="10")THEN

state<=S0;

Z<='1';Y<='0';

ELSE

state<=s1;

END IF;

WHEN S2=>Z<='0';Y<='0';

IF(X="01")THEN

state<=S0;

Z<='1';Y<='0';

ELSIF(X="10")THEN

state<=s0;

Z<='1';Y<='1';

ELSE

state<=s2;

END IF;

END CASE;

END IF;

END PROCESS;

END beha;

上面程序中,A、B分别为一元硬币和五角硬币驱动信号,Z为矿泉水输出信号,Y为找钱输出信号。

3) 功能模块仿真

(图三)功能模块仿真图

说明:当系统输入两个元的该店平时,输出和找钱都输出高电平一。也就是说,输入两元时,出水、找钱。

2、投币输入信号处理模块

投币输入信号的处理电路如图三所示,其中IN_yuan和IN_jiao作为一元硬币和五角硬币的投币输入信号,A和B为相应的输出信号,作为功能控制模块的输入信号,而且A、B输出是在投币输入信号IN_yuan和IN_jiao为高电平1之后的一个周期内被设置为1。

(图四)投币输入信号的处理电路

(图五)投币输入电路的仿真图

说明:经过仿真结果如图四所示,实现了每次投币后在一个clk周期内只产生一个可靠的脉冲驱动信号。当元输入为一时,A输出一,当角输出一时,B输出一。当元和角同时输一时,AB同时输出一。

五、总体设计电路图

(图六)顶层电路

(图七)管脚分配

(1)、系统仿真

自动售货机控制系统功能仿真如下:

(图八)控制系统功能仿真一

说明:当系统YUAN输入端给连个高电平时,输出OUT和ZHAOQIAN分别输出高电平1,也就是说输入两元钱时,输出一瓶水,找五角钱。

(图九)控制系统功能仿真二

说明:当系统角输入端给三个高电平1时,输出端OUT输出高电平1,ZHAOQIAN 输出低电平0.也就是说,输入三个五角时,只出水,不着钱。

(2)、硬件验证(操作)

1、将程序下载到芯片FPGA

2、实物连接

1)将IO9/IO10分别到L1/L2上

2)CLK 连接板载时钟连接到IO3口上

3、进行模拟仿真

1)连续两次将IN-YUAN置1,观察结果。两灯都灭。

2)连续三次将IN-JIAO置1,观察结果。出水灯灭,找钱灯亮。

3)分别将IN-YUAN,IN-JIAO置1,观察结果。L1灯灭,L2灯亮。

六、总结

这次课程设历时史两个星期,在整整两个多星期的日子里,可以说是苦多于甜,但是可以学到很多很多东西,同时不仅可以巩固以前所学的知识,而且学到了很多课本上没有的东西,我认为,在这学期的实验中,在收获知识的同时,还收获了阅历,收获了成熟,在此过程中,我们通过查找大量资料,请教老师,以及不懈的努力,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。通过这次课程设计,我更加感到理论和实际之间的差异很大。我也越来越强烈地感到要掌握一门技术,唯一的办法也是最好的办法就是实践。只有通过实践才能将书本上的知识应用,也只有实践才能发现很多问题,真正掌握知识,学以致用。虽然遇到的问题很多,但是同时得到很多有用的经验。这些对于以后的学习和工作都是很有用的。

自动售货机控制系统的设计(已修)

自动售货机控制系统的设计专业:电子信息工程 班级:10级电信(1)班 学号:12010248815 姓名:李浩

1.1系统描述与控制要求 PLC 控制的自动售货机系统主要有三个可以感受到投币量的传感器,六个按钮开关(分别为启动按钮、停止按钮、退币按钮、汽水选择按钮、花茶选择按钮和咖啡选择按钮)三个指示灯,(分别为汽水指示灯,花茶指示灯和咖啡指示灯),和两个七段数码管。传感器用来接收投币信号,传送投币量的多少;按钮开关分别对系统功能进行控制,当投入的钱币够某一种饮料时,按下这种饮料的选择按钮时才会有饮料送出,有剩余钱币时,还可以通过按下退币按钮来找回剩余钱币;三个指示灯分别用来只是哪种饮料可以选择,只有投币量大于或等于这种饮料的价格时,这种饮料的指示灯才会亮;同时,还有两个七段数码管,用于显示当前剩余的总钱币。具体对自动售货机的控制要求如下: 1.自动售货机有3 个投币孔,分别为1 元、5 元和10 元。 2.售货共有3种饮料供选择,分别为汽水、花茶和咖啡。 3.如投币总额超过销售价格,将可由退币钮找回余额。 4.投币总额或当前值显示在7段数码管上。 5.投币值等于或大于12 元时,汽水指示灯亮,表示只可选择汽水。 6.投币值等于或大于15元时,汽水和花茶指示灯亮,表示可选择汽水和茶。 7.投币值等于或大于20元时,汽水、花茶和咖啡指示灯亮,表示3 种均可选择。 8.按下要饮用的饮料按钮,则相对应的指示灯开始闪烁,3s 后自动停止,表示饮料已经掉出。 9.动作停止后按退币钮,可以退回余额,退回金额如果大于10元,则先退10元再退1 元,如果小于10元则直接退 1 元的。 1.2控制工艺分析 自动售货机的基本功能就是对投入的钱币数进行计算, 然后根据运算结果做出相应的判断,看看哪种商品可以进行购买,哪种商品不能购买,本次课程设计的要求是可识别三种钱币,分别是 1 元,5元,10 元,采用三个按钮进行模拟, 自动售货机共出售3 种货物,其价格分别定为12 元,15元,20元,当投币数大于等于货物价格时,该种货物的指示灯亮,表示可以进行购买,3 种货物分别用3个信号灯进行指示.除此之外,本次设计还涉及了显示,找零等功能的实现, 其中显示部分采用数码管进行显示, PLC的外围接口由两个CD4511 连接两个数码显示管,以显示投币总数和购买后的余额;当按下找零按钮后,数码管显示进行清零。整个系统由三个可以感受到投币量的传感器,六个按钮开关,三个指示灯,和两个七段数码管和两片CD4511组成。

基于plc的自动售货机程序设计

题目:基于plc的自动售货机程序设计 1 PLC的硬件组成 PLC的硬件主要由中央处理器(CPU)、存储器、输入单元、输出单元、通信接口、扩展接口、电源等几部分组成。其中,CPU是PLC的核心;输入单元/输出单元是CPU 与现场输入/输出设备之间的接口电路;通信接口用于连接编程器、上位计算机等外部设备,其硬件构成图如图1-1。 图1-1 PLC硬件结构图 2 PLC控制自动售货机的主要硬件及选型 2.2.2自动售货机I/O点的分配 输入/输出单元通常也称I/O单元或I/O模块,是PLC与工业生产现场之间的连接部件。PLC通过输入接口可以检测被控对象的各种数据,以这些数据作为PLC对被控对象进行控制的依据;同时PLC又通过输出接口将处理的结果送给被控对象,以实现控制目的。本次自动售货机I/O点的分配表如表3-1所示。 输入信号PLC地址输出信号PLC地址 找零按钮x0 找零y0 一元饮料按钮x1 咖啡出口y1 五元饮料按钮x2 汽水出口y2 十元元饮料按钮x3 汽水指示灯y3 咖啡饮料按钮x4 咖啡指示灯y4

2.2.3自动售货机的I/O接口图 此次自动售货机的I/O接口如图3-1所示,SB2-SB7是物品选择按钮,当投入货币物品绿灯亮(Q1.0-Q1.5)。按下SB2-SB7其中一个,对应的KM线圈得电,电机转动出相应的物品(Q0.1-Q0.6);当有物品售完,其行程开关断开,物品绿灯不亮并同时向总部发送物品销售完的信息;当购买物品总值低于投入总值时,可按找零按钮SB1后KM1得电,电机转动找零(Q0.0)。 图3-1自动售货机PLC控制I/O接口图 4.1.2 数学运算指令 在梯形图中,整数、双整数与浮点数的加、减、乘、除指令(见表4-2)分别执行下列运算:IN1+IN2=OUT,IN1-IN2=OUT,IN1*IN2=OUT,IN1/IN2=OUT。 在语句表中,整数、双整数与浮点数的加、减、乘、除指令分别执行下列运算:IN1+OUT=OUT,OUT-IN1=OUT,IN1*OUT=OUT,OUT/IN2=OUT。 表4-2 加减乘除指令

自动售货机运营服务合同

自動售貨機運營服務合同 甲方:___________________________________(以下簡稱甲方) 地址:___________________________________ 聯絡人:_______________職稱:_______________ 電話:_______________分機:_______________ 傳真:_______________電郵:_______________ 乙方:___________________________________(以下簡稱乙方) 地址:___________________________________ 聯絡人:_______________職稱:_______________ 電話:_______________分機:_______________ 傳真:_______________電郵:_______________ 丙方:___________________________________(以下簡稱丙方) 地址:___________________________________ 聯絡人:_______________職稱:_______________ 電話:_______________分機:_______________ 傳真:_______________電郵:_______________ 甲方考量鄭州綜保區為保稅區,不得進行任何具有盈利性質的經營活動,為滿足廠區員工的基礎生活需求,特招請乙、丙方進行自動售賣機投放與運營事項;乙方為專業智慧自動售貨機平臺服務提供者,致力於智慧自動售貨機(以下簡稱“自動售貨機”)產品、系統平臺的開發與市場的拓展;丙方為具備零售業務、倉儲作業、配送運作,能有效承擔運營銷售作業並成為終端商業銷售主體的公司;現三方經友好協商,就甲方鄭州綜保區售賣機投放與運營一事達成一致,協定如下: 第1条: 合作分工 1.1 甲方: 1.1.1提供自動售賣機安置場地、所需電源、清潔管理等; 1.1.2對乙方提交的自動售貨機販賣商品的品種、價格進行核准; 1.1.2傭金與提成的制定與分配; 1.1.3依據甲、乙、丙三方相關約定,進行款項結報作業。 1.2 乙方: 1.2.1提供自動售貨機、運營基礎建設與運營平臺管理體制,包括但不限於提供自動售 貨機機台、自動售貨機運營軟體、雲端管理運營平臺等; 1.2.2自動售貨機市場品牌推廣; 1.2.3對國內廣告業務的拓展與系統的開發; 1.2.4對丙方提供的自動售貨機販賣商品的品種、價格進行審核,提交甲方核准后最終 導入系統; 1.2.5將自動售貨機擺放到本合同約定的經營點位,並使自動售貨機處於可使用的狀態; 1.2.6提供自動售貨機的二級維護與保養(具體範圍見附件一《自動售貨機保養手冊》); 1.2.7提供呼叫中心與消費者售後服務。 1.3 丙方: 1.3.1 在三方約定的合作區域內,負責自動售貨機運營作業,包括但不限於自動售貨機 所需的商品採購﹑配送及補貨服務﹑倉儲服務、錢幣回收、補充等;

自动售货机控制系统设计

综合课程设计报告书 自动售货机控制系统设计 题目: 学院:机械与电气工程学院 专业:电气工程及其自动化 班级:13级2班 姓名:付少官 学号: 指导教师:崔茂齐 教师职称:讲师 2016年6月29日

机械与电气工程学院综合课程设计任务书 专业:电气工程及其自动化班级:2013-2 姓名:付少官 自动售货机控制系统设计 (1) 摘要 (1)

Abstrct (1) 1、绪论 (1) 2、自动售货控制系统设计总体方案 (2) 元器件选择 (2) 开发工具 (2) 3、自动售货控制系统硬件设计 (3) 硬件总体框图 (3) AT89C51单片机模块(介绍本次设计所到的功能) (3) (3) (4) 本次所应用的管脚说明 (4) 单片机驱动模块 (5) 选择按键模块 (5) (6) (6) 系统显示模块 (6) 数码管显示器 (6) 显示器的驱动电路 (7) 出货以及退币模块 (8) 4、自动售货控制系统软件设计 (8) 系统流程图 (8) 元器件计算 (9) 5、系统总电路图及调试仿真 (10) 总电路图 (10) 系统调试 (11) 系统仿真 (11) 总结及致谢 (14) 参考文献 (15) 附录 (16) 附录1、元件清单 (16) 附录2、源程序 (16) 附录3、自动售货机控制系统protel99se原理图 (23)

自动售货机控制系统设计 付少官 摘要本文详细介绍了自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。该系统以单片机AT89C51芯片为核心,采用集中控制方式实现了对自动售货机全过程的自动控制。本系统选择独立式键盘按键作为货物选择端,实现了投币总数的显示功能。此外,系统程序采用keil软件进行程序的编写和编译,该软件具有编程简单、查错方便、阅读容易等特点。汇编语言是程序的基本语言,具有容易理解,便于记忆和使用等特点。 关键词:自动售货机,AT89C51,LCD显示 Abstrct T his paper describes a vending machine system design, hardware selection, software planning and preparation, and describes the focus of the work vending machine system theory, system design, software programming principles and techniques. AT89C51in the system as the core chip, the realization of a centralized control of the vending machine's automatic control the entire process. Choice of the system as a stand-alone keyboard keys to choose the goods side, the realization of the coin shows the total number of features. In addition, the system program using keil software to prepare and compile procedures, the software programming is simple, convenient error checking, easy to read and so on. Assembly language is the basic language program with easy to understand, easy to remember and use and so on. Key words:Vending Machines,AT89C51,LED Display 1、绪论 自动售货机是一种全新的商业零售形式,从自动售货机的发展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。 随着科技的发展及人们生活水平的提高,自动售货机市场越来越呈现出多元化及个性化的需求。人们通过自动售货机自助购物,这种简单、快捷的方式正逐渐成为市民的一种新的消费时尚,并且满足了人们在当今科技高速发展的现代社会追求高品质生活的需要。自动售货机在城市商业区、写字楼大厅、风景区、车站、码头、繁华街道等公共场所的布设能够美化城市环境,方便群众生活,它已经成为城市各角落的一道亮丽风景线。 自动售货机必将在国内普及,并成为城市现代文明程度的一种象征性标志。本文详细介绍了如何控制、要求进行自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。

自动售货机控制系统的设计

课程设计题目:自动售货机控制系统的设计 目的与任务: (1)进一步掌握MAX+PLUSⅡ软件的使用方法; (2)会使用VHDL语言设计小型数字电路系统; (3)掌握应用MAX+PLUSⅡ软件设计电路的流程; (4)掌握自动售货机的设计方法; (5)会使用GW48实验系统。 内容和要求: 设计一个简易的自动售货机,它能够完成钱数处理、找零、显示、退币等功能。 (1)用3个键表示3种钱,再用3个键表示3种物品。 (2)用2个数码管显示输入的钱数,再用2个数码管显示所找的钱数,以元为单位。 (3)买东西时,先输入钱,用数码管显示钱数,再按物品键,若输入的钱数大于物品的价格,用数码管显示所找的钱数,并用发光二极管表示购买成功。 (4)若输入的钱数少于物品的价格,用数码管显示退出的钱数,并用发光二极管表示购买失败。

设计内容(原理图以及相关说明、调试过程、结果) 一、系统设计方案 根据系统要求,系统的组成框图如图1所示。 (1) 即可,改变设 (a2)控制模块是这个系统的核心模块,它具有判断按键、计算输入钱数总和、计算找零、控制显示四个作用。它的工作原理是每当时钟上升沿到来时,判断哪个按键按下,若按下的是钱数键,则将钱数保存于中间信号COIN,若下次按下的仍是钱数键,COIN的值则加上相应的值并显示于数码管;当物品键按下时,则将COIN的值与物品价格进行比较,然后控制找零。 (3)由于钱数可能大于9,所以译码显示模块的作用就是将钱数译码后用两个数码管显示,这样方便观察。 根据各个功能模块的功能并进行整合,可得到一个完整的自动售货机系统的整体组装设计原理图,如图2所示。 图2 设计原理图 二、系统主要VHDL源程序 (1)分频器的源程序(外部时钟选用3MHz,实现3万分频)

自动售货机的经营模式(精)

自动售货机的经营模式 自动售货机行业摸爬滚打二十多年,经营模式日趋成熟。于目前而言, 自动售货机的经营模式主要有三类。 第一类:直接购买。客户购买生产商的机器。客户独立经营,负责采购、补货、补硬币、收纸币等全部经营流程。生产商负责机器的安装、测试和日常维修等服务,一年内免费保修。经营利润归经营者所有。 自动售货机的造价普遍不低于 2万,经营成本在零售业中排行第一位。经营者不能只考虑自动售货机能不能赚钱, 还要考虑利润和成本。若果成本高二利润低, 不如投资其它行业。而且从长远的发展目光看, 机器的维修成本也要计算在经营成本之内, 而一年的保修期限,是远远不够的。故而,自动售货机的质量问题是首要的。 舟翼自动售货机是国内十大自动售货机品牌之一,良好的口碑来自质量的保证。舟翼践行“质量是企业保护之盾” 的信念, 在出厂之前, 经过数十道工序检测。严格的制造标准, 带给舟翼自动售货机无懈可击的质量。 2012年舟翼自动售货机接受国际标准化组织 (ISO 、国际电工委员会(IEC 等质量评测组织的质量评级,检测结果显示舟翼自动售货机的质量领先国内,达到世界一流水平。 2013年,舟翼还得到国家质量技术检测局颁布的荣誉奖章。同年的市场调查显示, 舟翼自动售货机的使用年限远超其余品牌自动售货机, 成为自动售货机中大哥大 ! 第二类:合作租赁。客户租用机器,自行经营,负责全部的经营流程。生产商提供机器安装等服务,租赁期间提供免费维修(不包括人为毁坏。这种经营模式细分还有两类, 一是纯租赁,经营者交租金,不需和生产商分配利润。二是纯合作,经营者不交租金,利润和生产商分配。但这两种合作租赁,都必须交押金。 合作租赁可以减少双方的投资风险, 在国内比较常见。但从长远的目光来看, 这会令投资者对对方产生严重的依赖, 而且不利于双方的发展壮大的。因此这种经营模式, 比较适合缺乏经营经验的新手。

plc自动售货机控制系统的设计

目录 第1章自动售货机的控制工艺流程分析 (1) 1.1系统描述与控制要求 (1) 1.2控制工艺分析 (2) 第2章自动售货机的控制系统方案设计 (3) 2.1自动售货机系统的硬件组成 (3) 2.2I/O分配 (4) 2.3自动售货机控制系统外部接线图 (5) 第3章系统的流程图 (6) 第4章程序设计 (7) 4.1计币部分 (7) 4.2比较部分 (7) 4.3选择和饮料供应部分 (8) 4.4余额计算部分 (8) 4.5退币部分 (9) 4.6整体运行梯形图 (11) 第5章梯形图程序调试 (14) 课程设计心得 (15) 参考文献 (16)

第1章自动售货机的控制工艺流程分析 自动售货机是可完成无人自动售货的商业自动化设备,它不受任何场地限制,方便快捷,在我国也越来越普及。传统的自动售货机采用单片机作为控制核心,但这样在输入输出接口上消耗很大。PLC不但可实现类似控制功能,还具有可靠性高、编程简单、功能强、能耗低、调试方便等优点。本文设计的是售汽水和咖啡这两种饮料的自动饮料机,它的售货过程是:首先由顾客按下商品选择开关时,然后顾客投入的硬币(投入硬币的面值和剩余值由PLC驱动数码管显示)经过光传感器感应,再由光传感器驱动硬币识别传感器识别硬币(由于能力有限,在识别硬币上不做具体研究)。如果是无效币则使继电器打开阀门,将硬币退出;如果是真币则系统将硬币自动传送到相应的硬币贮币腔,并经硬币识别传感器将信号送给PLC。最后经顾客选择,同时PLC控制,使PLC的输出口上有相应的信号输出。若贮币腔内无硬币(红外检测器感应)、饮料的量位小于设定值(红外传感器感应)、售货机遭损,系统就报警【7】。大体运行如图1.1: 图1.1自动售货机控制系统硬件组成示意图 1.1系统描述与控制要求 PLC 控制的自动售货机系统主要有三个可以感受到投币量的传感器,六个按钮开关(分别为启动按钮、停止按钮、退币按钮、汽水选择按钮、花茶选择按钮和咖啡选择按钮)三个指示灯,(分别为汽水指示灯,花茶指示灯和咖啡指示灯),和两个七段数码管。传感器用来接收投币信号,传送投币量的多少;按钮开关分别对系统功能进行控制,当投入的钱币够某一种饮料时,按下这种饮料的选择按钮时才会有饮料送出,有剩余钱币时,还可以通过按下退币按钮来找回剩余钱币;三个指示灯分别用来只是哪种饮料可以选择,只有投币量大于或等于这种饮料的价格时,这种饮料的指示灯才会亮;同时,还有两个七段数码管,用于显示当前剩余的总钱币。具体对自动售货机的控制要求如下:

自动售货机控制系统

电子课程设计 ——自动售货机控制系统 学院:电子信息工程学院 专业、班级:通信101501 姓名:黄兆凯 学号:201015030106 指导教师:李东红 2012年12月

目录 一、设计任务与要求 (1) (1)基本要求 (1) (2)提高要求 (1) 二、总体框图 (1) (1)设计思路 (1) (2)系统原理 (1) 三、器件选择 (2) 四、功能模块 (2) (1)功能控制模块 (2) (3)输入信号处理模块 (5) 五、总体设计电路说明 (6) (1)系统仿真 (7) (2)硬件验证(操作) (8) 六、总结 (9)

自动售货机控制系统 一、设计任务与要求 (1)基本设计要求 设计一个模拟自动售货机的逻辑控制电路 1、它有两个投币口分别为一元投币口和五角投币口。 2、每次只能投入一枚一元或五角硬币。 3、投入一元五角硬币后机器自动给出一瓶矿泉水。 投入两元硬币后,在给出一瓶矿泉水的同时找回一枚五角的硬币。 (2)提高要求 1、增加一个五元投币口和出水数量选择按钮,一个一元的找钱端口。 二、总体框图 1、设计思路 根据设计要求可以对售货机的基本功能进行确定。它应该能够区分一元和五角硬币,并且能够对投入的货币进行累加。当所投币值达到商品售价。就自动送出商品。因为题目要求只有一种商品并且其售价为一元五。根据这一特殊点可设计方案如下:用两个开关分别模拟投入一元和五角硬币时产生的信号,高电平有效。用两颗LED分别模拟售出商品和找钱信号,灯灭有效。 2、系统原理 自动售货机的所有信号均由同步时钟信号clk的正边沿触发。由于售货机的硬币接受器一般是一个机械设置装置,投币时产生的信号要比同步信号clk要慢得多,一般可持续好几个周期,必须对投币输入信号进行处理,使每一次投币时在clk脉冲周期内只能产生一个脉冲作为功能控制模块的投币驱动信号。因此可将该系统分为两个模块。 如图一:

自动售货机管理程序

1引言 21世纪,我们已经进入了信息时代,计算机的使用大大方便了我们的日常生活,超市和网上购物已经不能满足我们对便利生活的要求,不能随时随地购物的缺点不能克服的。在此情况下,就需要一个程序化的自动售货机来满足人们日常生活的需求。在C语言的平台下实现,用户可以方便的进行各项购物操作。 C语言是一种程序设计语言,早在20世纪90年代,C语言表现出了强劲的生命力,C语言是一种结构化语言。它层次清晰,便于按模块化方式组织程序,易于调试和维护。C语言的表现能力和处理能力极强。它不仅具有丰富的运算符和数据类型,便于实现各类复杂的数据结构。它还可以直接访问内存的物理地址,进行位一级的操作。由于C语言实现了对硬件的编程操作,因此C语言集高级语言和低级语言的功能于一体,既可用于系统软件的开发,也适合于应用软件的开发。此外,C语言还具有效率高,可移植性强等特点。因此可以广泛地移植到了各类型计算机上,从而形成了多种版本的C语言。 采用输入函数和输出函数方式,可以完成对待售物品的名称、数量、价格的输出、顾客所选物品的输入、以及其它购物信息显示。

在对自动售货机管理程序进行需求分析的过程中,需要确定系统的主要功能,对程序开发的主要目的、程序的使用领域和有关该程序开发的软硬件环境进行详细的分析。下面从系统概述、功能模块描述两个方面进行需求分析。 2.1程序概述 自动售货机管理程序是运行于Windows系统下的应用软件,主要用于对待售商品的品名、价钱、数量、生产日期、保质期、编号进行输出等操作。 2.2功能需求 自动售货机管理程序为路边的行人提供了一个随时随地进行购物的平台,给消费者提供了一个简单友好的购物窗口,功能需求如下: (1)输出模块 程序在消费者购物前将所售商品的品牌输出以供消费者选择品牌,然后将消费者选择的品牌下的商品信息输出让消费者选择所需的商品,最后输出“请你付款班级”提示消费者完成购物。 (2)输入模块 让将消费者选定的商品的编码输入程序中然后进行价格的输出,以上述的方式让消费者把购物数量输入进程序中,最后将消费者付款金额输入,进而完成找钱。 (3)返回模块 如果消费者所输入的商品编码自动售货机上没有,则程序自动跳转到商品品牌的选择,提示消费者输入正确的商品编码。并且如果消费者输入的购买数量大于库存数量,程序将自动返回到商品的数量选择界面下,还有如果消费者的付款金额小于消费金额时,程序将自动返回到付款界面。 (4)找钱模块 将消费者付款金额进行判断后,进行一定运算后按50元、20元、10元、1元的分类分别找对。 程序由上述几个模块组成,能帮助消费者以自助的方式完成所需商品的购买并且有效地减少购物时间。另外还能节省售货者的管理时间,大大的提高管理的效率。

自动售货机的设计论文

自动售货系统 摘要:提出并实现一套基于单片机控制步进电机的自动售货系统。其主要目的是为当前的自动售货机系统提出一种可行的改进方案,扩展其功能,系统主要是由三部分组成:步进电机、51单片机、以及中间的接口电路,可以使自动售货机的功能更加完善,更加有利于自动售货机的多样化发展。 关键词:驱动电路,单片机,步进电机,OCS Abstract:Put forward and realize a set of auto selling machine system according to the single-chip microcomputer control stepper motors .Its main purpose is to put forward a kind of viable improvement project, expanding its function for current auto selling machine system .the system primarily is composed of three parts: stepper motors ,single-chip microcomputer, and connecting circuit in them which can make the function of auto selling machine more perfect, is benefit to have more diverse uses of auto selling machine. Keyword: the driving circuit, single-chip microcomputer , Office Coffee Servic e

自动售货机代运营合作协议

编号:_______________本资料为word版本,可以直接编辑和打印,感谢您的下载 自动售货机代运营合作协议 甲方:___________________ 乙方:___________________ 日期:___________________

甲方: 乙方: 甲、乙双方基于各自拥有的独特优势和能力,本着平等合作、互惠互利的原则,就甲方全权授权 委托乙方运作自动售货机事宜达成以下协议: 1合作形式 本协议所称合作,指由甲方提供自动售货机和商品,乙方负责该自动售货机的运营(包括但不限于商品仓的仓储、仓库至自动售货机的物流、自动售货机的补货、自动售货机现金购买的货币管理、自动售货机的维护保养),双方联合经营的模式。 2合作期限及目标 本合同期限自年—月—日起至年—月—日止。 3合作范围 本合合作协议所指的自动售货机为内的品牌自动售货机台 4双方的权利与义务 4.1.乙方依据甲方实际要求组建并管理自动售货机运营团队,并负责合同约定范围内自动售货机的运营工作, 包括但不限于商品仓的仓储、仓库至自动售货机的物流、自动售货机的补货、自动售货机现金购买的货币管理、自动售货机的维护保养、投诉售后等日常工作。 4.2.乙方应保证自贩机在售商品空置率不超过10%,再售商品空置时间不超过两小时。 4.3.乙方需配置一名或以上人员专门负责自动售货机运营工作,更换运营人员需双方协商后确定。甲 方负责运营初次培训费用,由于乙方原因更换运营人员的,乙方须向甲方支付壹仟圆每人的运营培训费用。 4.4.乙方须根据实际运营需求提供符合食品安全存放要求的仓库,仓储条件已符合国家食品安全存放要求为准,

BRT车站自动售货机商业运作模式分析

有关BRT车站自动售货机商业运作模式可行报告 自动售货机普及是大趋势,日本、韩国的经验都说明这一点。在日本,每23人就有一台自动售货机,欧美是每40人有一台,韩国每60人一台,北京大概是10000人才有一台。 目前自动售货机开始在国内盛行,多种形式的自动售货机的出现大大丰富了其出售货物的多样性,国内:如出现在奥运场馆的自动售药机、小区内的自动售菜(大闸蟹)机等。自动售货机将不局限于出售袋装、听装、盒装等货物,它将能更好的满足人们的日常需要。 自动售货机分布最普遍的为日本,在日本国内,约有560万台自动售货机,其中一半约280万台为饮料、食品自动售货机。由自动售货机销售的商品总额约为7兆日元,以饮料居多。 在国内经营自动售货机的毛利率是比较低的,平均都在10%以上。就运营自动售货机本身来分析,在收入上除了销售金额以外,在广告上也能带来收益。 迅捷自动售货机为我公司为各BRT公交车站提供的30道自动售货机,可一并展销30种不同类别的小商品,操作简单,购买迅速快捷。由于济南市市民具有较高的整体素质高并可以较快的接受新鲜事物,因此我们的项目目的就是为公交乘客提供一个快捷、网络分布广、交易方便、价廉、卫生的购物方式。将BRT 车站自动售货机打造成“微型超市”。 自动售货机具有快捷方便乘客,坐BRT公交的乘客较易接

受新鲜事物,对价格的敏感度不高,往往会因为某种外因(方便,快捷,卫生等)而选择购买。因此在低端零售业市场中,自动售货机具有得天独厚的优势。 BRT车站周围几种经营方式比较 其他经营方式在BRT车站周围的特点: (1)杂货店:与各BRT车站的距离均在150米之上,即乘客从车

简单自动售货机VerilogHDL程序

自动售货机VerilogHDL程序 一个简单的自动售卖饮料机的程序。该机器具有投币,显示余额,购买六种饮料,退钱等功能,为了更具实用性,增添了饮料选择允许提示和投币允许提示的功能。具体形容,可投入一元、五元、十元和二十元面值的钱币,显示出当前的余额,并根据当前的余额提示能购买哪些饮料,选择某种饮料,则输出选定的饮料,同时余额减去相应的金钱。若选择退钱,机器就退出所有的钱,余额清零。 下图为功能示意图: 程序的状态表:

程序中包含了一个状态机,定义了一个任务(task)和函数(function),用该任务调用了该函数,使用若干分支语句,详见附后源程序和测试程序。 附上程序编译仿真图:

源程序如下: `define one 3'b001 `define five 3'b010 `define ten 3'b011 `define twenty 3'b100 module automart(money,state,moneyout,coinable,adrkable,bdrkable, cdrkable,drkout1,drkout2,drkout3,drkout4,drkout5, drkout6,coin,clk,reset,moneyback,choice1,choice2, choice3,choice4,choice5,choice6); input[2:0] coin;//投币输入,分为1、5、10、20元四种输入 input clk,reset,moneyback,choice1,choice2,choice3,choice4, choice5,choice6;//moneyback为退钱输入,choice1~6是饮料选择output moneyout,coinable,adrkable,bdrkable,cdrkable,drkout1, drkout2,drkout3,drkout4,drkout5,drkout6; //依次为退钱输出,投币许可提示,饮料选择许可,6种饮料输出output[2:0] state;//状态记录 output[7:0] money;//余额显示 reg[7:0] money; reg[2:0] state; reg moneyout,coinable,backable,adrkable,bdrkable,cdrkable; parameter A=3'b000, B=3'b001, C=3'b010,D=3'b011, E=4'b100; assign drkout1=choice1&adrkable;

自动售货机商业运作模式浅析

有关自动售货机商业运作模式浅析 自动售货机普及是大趋势,日本、韩国的经验都说明这一点。在日本,每23人就有一台自动售货机,欧美是每40人有一台,韩国每60人一台,北京大概是10000人才有一台。 目前自动售货机开始在国内盛行,多种形式的自动售货机的出现大大丰富了其出售货物的多样性,国内:如出现在奥运场馆的自动售药机、小区内的自动售菜(大闸蟹)机等;国外:在街边的自动售鞋机、自动售葡萄酒机等等。自动售货机将不局限于出售袋装、听装、盒装等货物,它将能更好的满足人们的日常需要。 自动售货机分布最普遍的为日本,在日本国内,约有560万台自动售货机,其中一半约280万台为饮料、食品自动售货机。由自动售货机销售的商品总额约为7兆日元,以饮料居多。其出售的货物价格一般为现有市场价格的130%。据统计,而在购买着比率上男女比例为9:1,因此在货物的配制方面可以做调整。在国内经营自动售货机的毛利率是比较高的,平均都在50%以上。就运营自动售货机本身来分析,在收入上除了销售金额以外,在广告上也能带来收益。在运营售货机上需要考虑以下几方面的费用: 1.货物成本 2.场地租用费 3.电费

4.物业费用 在商品的的补充上面,需要一个专门的自动售货的营业部门,其中应包括:外勤销售员、商品采购员、仓库的管理员、客服。 有关自动售货机技术层面问题介绍 支付方式: 现金纸币、硬币、手机电子支付、磁卡刷卡支付、磁条感应劵支付等 出货方式: 弹簧出货、机械手推货、升降平台。 在09年国际消费电子展商出现了触屏售货机,并且支持冷|热藏,实时加热等技术。无不为自动售货机的下一步趋势。 下面介绍由日本电机零售设备系统株式会社与大连冷冻机股份有限公司共同组建的大连佳乐有限公司的商业模式。通过分析

基于s7200自动售货机PLC控制

明书 专业指导老师 姓名学号 完成日期2018年6月10号

选题四、自动售货机PLC 控制 1.工作要求: 1)此售货机可投入5 角、1 元、5 元硬币。 2)所售饮料标价:可乐——2.50 元、橙汁——3.00 元、红茶——5.50 元、咖啡——10.00 元 3)当投入的硬币和纸币总价值超过所购饮料的标价时,所有可以购买饮料的指示灯均亮,作可购买提示。(如:当投入的硬币总价值超过2.5 元,可乐按钮指示灯亮;当投入的硬币总价值超过3 元,可乐、橙汁按钮指示灯均亮;当投入的硬币总价值超过10.00 元所有饮料按钮指示灯都亮)。 4)当饮料按钮指示灯亮时,才可按下需要购买饮料的按钮,购买相应饮料,(如:当可乐按钮指示灯亮时,按可乐按钮,则可乐排出10s 后自动停止,此时可乐按钮指示灯闪烁) 5)购买饮料后,系统自动计算剩余金额,并根据剩余金额继续提示可购买饮料(指示灯亮)。 6)若投入的硬币和纸币总价值超过所消费的金额时,找余指示灯亮,按下退币按钮,就可退出多余的钱。 7)系统退币箱中只备有5 角、1 元硬币,退币时系统根据剩余金额首先退出1 元硬币, 1 元硬币用完后,所有找余为5 角硬币。 表3 自动售货机控制信号说明

课程设计报告目录一、引言 1.1自动售货机研究的背景 1.2 自动售货机国内外发展现状 1.3 本课题研究的目的及意义 1.4 研究的主要内容 二、系统总体方案设计 2.1自动售货机硬件结构 2.2 PLC选型设计 2.3硬币的识别

2.4 纸币的识别 2.5电机的选择 2.6自动售货机出货结构三、控制系统设计 3.1自动售货机工作流程图 3.2自动售货机I/O分配 3.3 PLC外部接线图 3.4 PLC程序的设计 3.4.1钱币计数系统 3.4.2商品购买系统 3.4.3 找零系统 四、系统调试及结果分析 4.1仿真软件的操作设置 4.2仿真调试结果五.参考文献 一、引言 1.1自动售货机研究的背景 自动售货机的出现是自动化社会的产物。在社会消费水平迅速提高的今天,大量的购买消费可发生在任何时间任何地点。由于时间,地理位置的限制,给人们的购物带来了不便,为了满足商业的需求方便人们的购物消费自动售货机便出现在人们的生活中,自动售货机以其占地面积小,24 小时不间断工作,后

自动售货机控制系统的设计

自动售货机控制系统的 设计 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

课程设计任务书设计题目:饮料自动售货机PLC控制系统设计 学院:机械工程学院 专业:机械测控 班级: 姓名: 组员: 指导老师:

【摘要】 本文介绍了自动售货机的基本原理以及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。具体说明了可编程序控制器在自动售货机中的作用。程序涉及到了自动售货机工作的绝大部分过程。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词:自动售货机;可编程序控制器;梯形图 Abstract:Thispaperintroducesthebasicprinciplesofvending machines,aswellasworkflow,andthentoatransactionprocessasan example,thetransactionprocessisdividedintoseveralblock,and thentheblockwereprogrammed.SpecifythePLCintheroleofvending machines.Proceduresrelatedtotheworkofthevastmajorityofvend ingmachineprocess.PLCcontroloftheuseofvendingmachinesenhan cethestabilityofthesystemtoensurethatvendingmachinescapabl eoflong-termstableoperation. Keywords:Vendingmachines;PLC;LadderDiagram 一.PLC的概述 PLC的产生 20世纪20年代起,人们把各种继电器,定时器,接触器及其触点按一定的逻辑关系连接起来组成控制系统,控制各种生产机械,这就是大家所熟悉的传统继电接触器控制系统。由于它结构简单。容易掌握,价格便宜,在一定范围内能满足控制要求,因而使用面

自动售货机设计 毕业设计

摘要 自动贩卖机是能够根据投入的钱币自动付货的机器,它是商业自动化的常用设备,不受时间、地点的限制,能节省人力、方便交易。现代的自动售货机的种类、结构和功能依出售的商品而异。钱币装置是售货机的核心,其主要功能是确认投入钱币的金额,如果投入的金额达到购买物品的数值即发出售货信号,并找出余钱。自动售货机自动输出顾客所要的商品。因此,自动售货机在商业,食品行业越来越普及,更方便消费者购买自己所需的商品。使用方便、可靠、节省人力的自动售货机将在社会生活中成为一种趋势。 本文详细介绍了自动售货机系统以AT81C51芯片为核心,运用汇编语言在keil软件上进行编写、编译,在proteus上进行仿真,并通过选择独立键盘按键和LED显示,实现自动售货机的货物选择,投币显示,出货,找零等功能。本文并详细介绍了自动售货机系统的设计方案、硬件选择、软件规划和编写。重点描述了自动售货机的工作原理、系统设计和软件编程。 关键词:自动售货机;AT89C51;LCD显示;

Abstract Trades machine is automatically can pay the goods automatically according to the investment money the machine, it is the commercial automation commonly used equipment, the time, the place limit, cannot save the manpower, the convenience transaction. The modern vending machine's type, the structure and the function depend on the sell the commodity, but different. The money installment is vending machine's core, its major function is confirms the investment money the amount, if invests the amount achieves the purchase goods the value namely to send out the vending signal, and discovers the extra money. The vending machine outputs the commodity which automatically the customer wants. Therefore, the vending machine in the trade, food profession is getting more and more popular, a more convenient consumer purchases the commodity which one need. The easy to operate, reliable, the economical manpower's vending machine will become one tendency in the social life. This article introduced in detail the vending machine system take AT81C51 chip as the core, the utilization assembly language carries on the compilation, the translation on the keil software, carries on the simulation on proteus, and demonstrated through the choice independent keyboard pressed key and LED, realizes vending machine's cargo choice, throws the coin to demonstrate, produces goods, gives change and so on functions. This article and introduced the vending machine system's design proposal, the hardware select, the software plan and the compilation in detail. Described vending machine's principle of work, the system design and the software programming with emphasis. Key words: Vending Machines;AT89C51;LCD Display;

相关文档
相关文档 最新文档