文档库 最新最全的文档下载
当前位置:文档库 › 数电智力竞赛抢答器课程设计完全版

数电智力竞赛抢答器课程设计完全版

数电智力竞赛抢答器课程设计完全版
数电智力竞赛抢答器课程设计完全版

电子技术

课程设计

成绩评定表

设计课题:智力竞赛抢答器

学院名称:电气工程学院

专业班级:电气1503

学生:段帅朋

学号: 201523010310 指导教师:

设计地点:31-220

设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录

1.绪论 (3)

1.1设计目的 (3)

1.2 设计要求 (3)

2.方案设计 (3)

2.1系统工作流程图 (3)

2.2 元器件清单 (4)

2.3主要元器件选择与分析 (5)

2.3.1 轻触开关 (5)

2.3.2 74LS192计数芯片 (5)

2.3.3共阴极数码管以及其驱动芯片74LS48 (6)

2.3.4 74LS175四路D触发器 (7)

2.3.5 555定时器 (8)

2.3.6 集成门电路 (8)

2.3.7 无源蜂鸣器 (8)

3.原理分析 (9)

3.1 抢答必答模式选择及其指示电路 (9)

3.2 抢答电路 (9)

3.3 脉冲产生电路 (10)

3.4单稳态定时电路 (11)

3.5 定时电路 (12)

3.6 音响电路 (13)

3.7整机电路分析 (13)

3.8加减分数电路 (14)

4.设计总结 (15)

1.绪论

1.1设计目的

1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。

2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。

3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。

4、了解电子线路设计的工程,学会书写设计说明书。

5、培养学生严肃、认真的科学态度和工作作风。

6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。

7、培养学生自主学习能力,扩展知识面。

8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。

9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。

1.2 设计要求

用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下:

1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。

2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。

3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。

4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。

2.方案设计

2.1系统工作流程图

系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

另外每个组都有一个三位十进制加减分数的电路用于统计比赛得分。

图2.1 智力竞赛抢答器系统流程图

2.2 元器件清单

绘制完原理图后使用Altiumdesigner 导出元器件清单如表2.1所示,主要有按键、门电路、驱动芯片、数码管、555定时器及其常用的电容电阻晶体管等。

表2.1 元器件清单

抢答按钮 锁存电路 译码电路 显示电路

控制电路 主持人 音响电路

指示灯电路

秒脉冲 定时电路 译码显示电路

四组三位十进制加减分电路

1KHZ 脉冲

2.3主要元器件选择与分析

2.3.1 轻触开关

轻触开关又叫按键开关,最早出现在日本[称之为:

敏感型开关]使用时以满足操作力的条件向开关操作

方向施压开关功能闭合接通,当撤销压力时开关即

断开,其部结构是靠金属弹片受力变化来实现通断

的。

图2.2 轻触开关

轻触开关由:嵌件、基座、弹片、按钮、盖板组成其中防水类轻触开关在弹片上加一层聚酰亚胺薄膜如图2.2所示。

关于五脚轻触开关的脚位问题:两个引脚为一组,向开关体正确施压时四个引脚相导通,第五个引脚为接地作用。

2.3.2 74LS192计数芯片

74LS192十进制同步加减可逆异步预置数计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列如图2.3所示,逻辑符号如图2.4的所示。图中:

为置数端,为加计数端,为减计数端,为非同步进位输出端,

为非同步借位输出端,P0、P1、P2、P3为计数器输入端,为清除端,Q0、Q1、Q2、Q3为数据输出端。其功能如表2.2所列。

表2.2 74LS192功能表

输入输出

MR P3 P2 P1 P0 Q3 Q2 Q1 Q0

1 × × ×××××0 0 0 0

× d c b a d c b a

0 0

×

0 1

××××加计数

1

0 1 1 ××××减计数

图2.3 74LS192引脚排列图2.4 74LS192功能图

2.3.3共阴极数码管以及其驱动芯片74LS48

共阴极则是把所有led的阴极连接到共同接点com,而每个led的阳极分别为a、b、c、d、e、f、g及dp(小数点),如图2.5所示。图中的8个led分别与上面那个图中的a~dp各段相对应,通过控制各个led的亮灭来显示数字。

在实际使用中,处理器很少直接输出7位二进制码来控制数码管的显示,一般都采用译码器和数码管连接的方式。因为采用了译码器后,译码工作由译码器独立完成,中央处理器的输出信号可以由7位二进制信号减少成4位8421BCD 码。并且极提高了电路安全性和稳定性,其连接方式如图2.6所示。

74LS48除了有实现7段显示译码器基本功能的输入(DCBA)和输出(Ya~Yg)端外,74LS48还引入了灯测试输入端(LT)和动态灭零输入端(RBI),以及既有输入功能又有输出功能的消隐输入/动态灭零输出(BI/RBO)端。其功能参照功能表2.3

表2.3 74LS48功能表

74ls48功能表—七段译码驱动器功能表

十进数或功能输入BI/RBO 输出备注LT RBI D C B A a b c d e f g

0 H H 0 0 0 0 H 1 1 1 1 1 1 0 1

1 H x 0 0 0 1 H 0 1 1 0 0 0 0

2 H x 0 0 1 0 H 1 1 0 1 1 0 1

3 H x 0 0 1 1 H 1 1 1 1 0 0 1

4 H x 0 1 0 0 H 0 1 1 0 0 1 1

5 H x 0 1 0 1 H 1 0 1 1 0 1 1

6 H x 0 1 1 0 H 0 0 1 1 1 1 1

7 H x 0 1 1 1 H 1 1 1 0 0 0 0

8 H x 1 0 0 0 H 1 1 1 1 1 1 1

9 H x 1 0 0 1 H 1 1 1 0 0 1 1

10 H x 1 0 1 0 H 0 0 0 1 1 0 1

11 H x 1 0 1 1 H 0 0 1 1 0 0 1

12 H x 1 1 0 0 H 0 1 0 0 0 1 1

13 H x 1 1 0 1 H 1 0 0 1 0 1 1

14 H x 1 1 1 0 H 0 0 0 1 1 1 1

15 H x 1 1 1 1 H 0 0 0 0 0 0 0

BI x x x x x x L 0 0 0 0 0 0 0 2

RBI H L 0 0 0 0 L 0 0 0 0 0 0 0 3

LT L x x x x x H 1 1 1 1 1 1 1 4

图2.5 数码管图2.6 74LS48与数码管连接图

2.3.4 74LS175四路D触发器

74ALS175为一四路的锁存器,当CLK引脚输入上涨沿时,1D-4D被锁存到输出端(1Q-4Q)。在CLK其他状态时,输出与输入无关。其功能表如表2.4所示

表2. 4 74LS175功能表

2.3.5 555定时器

如图2.7所示:555 定时器的功能主要由两个

比较器决定。两个比较器的输出电压控制RS

触发器和放电管的状态。在电源与地之间加上

电压,当 5 脚悬空时,则电压比较器C1 的

同相输入端的电压为2VCC /3,C2 的反相输

入端的电压为VCC /3。若触发输入端TR 的

电压小于VCC /3,则比较器C2 的输出为0,

可使RS 触发器置1,使输出端OUT=1。如

果阈值输入端TH 的电压大于2VCC/3,同时TR 端的电压大于VCC /3,则C1 的输出为0,C2 的输出为1,可将RS 触发器置0,使输出为低电平,其功能表如表2. 5所示

表2. 5 555定时器功能表

2.3.6 集成门电路

集成门电路主要用于实现逻辑控制功能,此次设计使用到的集成门电路有74LS00两输入四与非门、74LS20四输入两与非门、74LS08两输入四与门、74LS32两输入四或门。

2.3.7 无源蜂鸣器

无源蜂鸣器利用电磁感应现象,为音圈接入交变电流后

形成的电磁铁与永磁铁相吸或相斥而推动振膜发声,接

入直流电只能持续推动振膜而无法产生声音,只能在接通或断开时产生声音,因此需要脉冲震荡信号才能发

图2.7 555定时器内部结构

图2.8 蜂鸣器

生。如图2.8

3.原理分析

3.1 抢答必答模式选择及其指示电路

如图3.1所示,这是一个模式选择电路,主要由开关和门电路组成,由主持人通过单刀双掷开关来选择是必答模式还是抢答模式。当开关S6拨到1引脚即连接GND为低电平时,与非门U3C的9引脚输入低电平,则8引脚必然输出高电平,然后经过一个与门输入到U1D的13引脚,12引脚为其他模块的控制信号,当12引脚为高电平时与门打开,13引脚的高电平信号可以被送到11引脚,点亮LED灯,当单刀双掷开关拨到3引脚即接高电平时,10引脚1HZ的秒脉冲信号可以通过与非门送到8引脚,然后通过控制与门送到11引脚使LED灯闪烁,需要熄灭指示灯时,只需要让与门的12引脚的控制信号为低电平,与门关闭,11引脚始终输出低电平,达到熄灭指示灯的效果。

图3.1 抢答/必答模式选择电路

3.2 抢答电路

如图3.2所示,抢答电路是由抢答按钮、74LS175四路D触发器、控制门电路(74LS20两输入与非门、74LS08两输入与门)、LED发光二极管和电容电阻构成。

首先需要主持人通过图 3.2的模式选择选择抢答模式,这样2引脚的“QD-BD”高电平信号就送到了图3.2 U1A的与门1引脚输入端,然后主持人按下复位按钮S 1,低电平信号通过与门送到74LS175的1引脚,进行触发器清零,此时1Q’、2Q’、3Q’、4Q’都是高电平经过74LS20与非、74LS00取非之后输出高电平到与非门U3B的5引脚,使得1KHZ的时钟脉冲信号加在74LS175的CLK上,等待竞赛选手抢答同时U3A输出的信号也会作为控制信号送到其他门电路参与系统控制。选手通过经过电容滤波消抖后的按钮S1-S4进行抢答,当有选手按下某一抢答按钮时,对应Q端输出高电平点亮对应发光二极管,同时对应Q’端会输出一个低电平,经过与非门之后在U3A引脚输出低电平,锁住U3B 这个与非门,使得1KHZ的时钟脉冲信号不能送到74LS175的CLK上,所以会锁住D触发器,其他选手再按下抢答按钮,由于没有时钟脉冲,D触发器信号不会改变,时钟保留第一次触发状态,这样实现了排除其他组干扰信号。四个Q 输出端只会输出0000、0001、0010 、0100 、1000 五中状态,为了显示抢答组别号,现在通过或门U874LS32做出来BCD码的低三位000 、001、010、011 、100 五种状态送给译码显示电路让最高位接地显示抢答组别号,其中译码显示部分会在3.7节的定时电路中详细分析

图3.2 抢答电路

3.3 脉冲产生电路

如图3.3和图3.4是基于555定时器的无稳态振荡电路

多谐振荡器是能产生矩形波的一种自激振荡器电路,由于矩形波中除基波外还含有丰富的高次谐波,故称为多谐振荡器。多谐振荡器没有稳态,只有两个暂稳态,在自身因素的作用下,电路就在两个暂稳态之间来回转换,故又称它为无稳态电路。

由555定时器构成的多谐振荡器如图3.3所示,R3,R8和C5是外接定时元件,电路中将高电平触发端(6脚)和低电平触发端(2脚)并接后接到R8和C8的连接处,将放电端(7脚)接到R3,R8的连接处。

由于接通电源瞬间,电容C来不及充电,电容器两端电压Uc为低电平,小于(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,3引脚输出Uo为高电平,放电管VT截止。这时,电源经R3,R8对电容C充电,使电容C8上电压Uc按指数规律上升,当Uc上升到(2/3)Vcc时,3引脚输出Uo为低电平,放电管VT导通,把uc从(1/3)Vcc 上升到(2/3)Vcc这段时间电路的状态称为第一暂稳态,其维持时间TPH的长短与电容的充电时间有关。充电时间常数T充=(R1+R2)C。

由于放电管VT导通,电容C通过电阻R8和放电管放电,电路进人第二暂稳态.其维持时间TPL的长短与电容的放电时间有关,放电时间常数T放=R8*C5随着C8的放电,Uc下降,当Uc下降到(1/3)Vcc时,输出Uo为高电平,放电管VT截止,Vcc再次对电容C8充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,Uc电压总是在(1/3~2/3)Vcc 之间变化。图3.5所示为工作波形。通过计算分析推到出震荡周期T =0.69*(R3+2R8)*C8。通过调节定时电阻电容来

控制脉冲的频率。图3.3为1KHZ的频率,用于D触发器的时钟信号和无源蜂鸣器的震荡发生信号;图3.4为1HZ的秒脉冲信号,用于74LS192计数器芯片的计数脉冲和LED闪烁脉冲信号。

图3.3 1HZ脉冲发生器图3.4 1KHZ脉冲发生器

图3.5 多谐振荡工作波形

3.4单稳态定时电路

如图3.6是基于555定时器的单稳态触发器电路。

单稳态触发器的特点是电路有一个稳定状态和一个暂稳状态。在触发信号作用下,电路将由稳态翻转到暂稳态,暂稳态是一个不能长久保持的状态,由于电路中RC延时环节的作用,经过一段时间后,电路会自动返回到稳态,并在输出端获得一个脉冲宽度为tw的矩形波,起到定时的功能。在单稳态触发器中,输出的脉冲宽度tw,就是暂稳态的维持时间,其长短取决于电路的参数值。

由555构成的单稳态触发器电路如图3.6所示。图中R2,C3为外接定时元件,输人的触发信号接在低电平触发端(2脚)。稳态时,3引脚输出Uo为低电平,即无触发器信号(2引脚为高电平)时,电路处于稳定状态——输出低电平。在触发信号负脉冲作用下,低电平触发端得到低于(1/3)Vcc,触发信号,输出Uo为高电平,放电管VT截止,电路进入暂稳态,定时开始。在暂稳态期间,电源Vcc→R2→C3→地,对电容充电,充电时间常数T=RC,电容电压Uc按指数规律上升。当电容两端电压Uc上升到(2/3)Vcc后,6端为高电平,输出Uo变为低电平,放电管VT导通,定时电容C3充电结束,即暂稳态结束。电路恢复到稳态Uo为低电平的状态。当第二个触发脉冲到来时,又重复上述过程。工作波形图如图3.7所示。可见,输人一个负脉冲,就可以得到一个宽度一定的正脉冲输出,其脉冲宽度tw取决于电容器由0充电到(2/3)Vcc,所需要的时间。可得tw=1.1*R*C。此电路参数使得暂稳态时间2s左右,实现定时大约2

秒的效果,定时信号控制图3.9的音响电路发出大约2秒长鸣声。

图3.6 单稳态电路图3.7 单稳态工作波形

3.5 定时电路

如图3.8所示,是一个由74LS192加减计数器、74LS48共阴极数码管译码驱动芯片、数码管以及控制门电路组成。74LS192有异步预置数功能。

首先主持人发出低电平复位信号加到异步预置数端11引脚,图 3.8中的74LS192上面ABCD预置数端被加上1001BCD码为十进制的9,QA-QD的输出1001通过由74LS32两输入搭建的四输入或门后11引脚得到高电平信号送给左边74LS08与门上,打开与门,1HZ的秒脉冲被送到了计数器的减计数引脚上,计数器开始递减,当计数到0000时,通过或门在U8D输出低电平就会关闭左上角的与门,秒脉冲就不能进来,一直锁定在这个状态,然后这个或门的低电平信号送给其他控制单元实现熄灭指示灯的功能,直到主持人再次发出复位信号,输出端被置9以后,或门低电平信号变成高电平,打开秒脉冲进行新一轮的计数。74LS192输出的BCD码送给74LS48然后驱动数码管显示数字。74LS48是共阴极数码管译码驱动芯片,在2.2.3节已经详细分析过,在此不再赘述。

图3.8 定时电路

3.6 音响电路

如图3.9所示的音响电路是由555单稳态触发电路和三极管驱动蜂鸣器电路组成,单稳态电路主要用于定时2秒左右,让三极管基极出现高电平,导通三极管,1KHZ的脉冲加到蜂鸣器上使蜂鸣器发声,发声时间就是单稳态触发器的暂稳态时间1.1*R2*C3,单稳态电路在3.4节已经详细分析过,故不再赘述。

图3.9 音响电路

3.7整机电路分析

如图3.10所示为抢答器的整机电路,为前面所有模块的整合。首先由主持人通过左下角的单刀双掷开关选择操作模式,往上为必答模式,往下为抢答模式。

若为必答模式,QD-BD这个低电平信号信号使得LED亮同时送到左上角的门电路产生低电平信号始终清零D触发器,使得抢答器失效,进入必答模式,当主持人按下左上角复位键S1后,低电平预置数信号送到左下角74LS92的预置数控制端,QA-QD输出1001,通过或门输出高电平信号使得UIC门的1HZ 秒脉冲加到计数器上开始向下计数,当计数到0时,通过或门后得到低电平,通过UIC封锁秒脉冲,同时也产生信号熄灭LED。

若为抢答模式,QD-BD这个高电平信号使得D触发器清零端始终无效,抢答器开始工作,主持人按下复位键后预置数开始计数,当有人抢答时,通过与非门锁定抢答信号同时输出控制信号控制蜂鸣器和LED灯。

图3.10 整机电路

3.8加减分数电路

如图3.11所示,这是一个加减分数的记分电路,由于四个小组的记分电路都是一样的并且也是独立的,因此就其中一组做出分析。加减分计数电路一共分三部分,三个计数器、三个译码显示分别对应着个位、十位和百位,对于百位(图3.11最上面的一部分)来说,S1控制预置数端,平时被上拉电阻拉到高电平,当按下开关时,预置数端为低电平,生效后立即预置ABCD的数据,默认我们置五(0101),S2控制减计数脉冲,按一下减一个数,同理S3为加计数键,S4为清零端,按下清零,产生的BCD码经过74LS48译码驱动数码管显示对应十进制数,下面两个十位和个位原理也是一样的并且采用串行进位借位方式,将低位的进位和借位信号分别送给高位的加减计数脉冲输入端实现串行进位和借位。

图3.11 三位十进制加减分电路

4.设计总结

这一课程设计使我们将课堂上的理论知识有了进步的了解,学习智力竞赛抢答器电路的工作原理,并增强了对数字电子技术这门课程的兴趣。了解了更多电子元件的工作原理,如:74LS20、74LS00、74LS48、74ls192等。但同时也暴露出我在知识上掌握不足等缺点。其次在此次设计过程中由于我们频繁的使用一电子设计软件如:AltiumDesigner等,因此使我熟悉了软件的使用,同时在电脑的电子设计和绘图操作上有了进一步提高。加上在设计过程中遇到了一些问题,使得我得查找相关资料,从而增长知识的同时增强解决问题和动手的能力,锻炼我做事细心、用心、耐心的能耐。这一课程设计,使我向更高的精神和知识层次迈向一大步。所以在以后的学习生活中,我会努力学习,培养自己独立思考的能力,积极参加多种设计活动,培养自己的综合能力,从而使得自己成为一个有综合能力的人才而更加适应社会。

多路智力竞赛抢答器

黄冈师范学院 本科生毕业论文 题目: 多路智力竞赛抢答器的设计专业班级:电子信息科学与技术2011级03班学号:201122340321 学生姓名:叶林飞 指导教师:刘珊 论文完成日期: 2015 年 5 月

郑重声明 本人的毕业论文是在指导老师刘珊的指导下独立撰写并完成的。毕业论文没有剽窃、抄袭、造假等违反学术道德、学术规范和侵权行为,如果有此现象发生,本人愿意承担由此产生的各种后果,直至法律责任;并可通过网络接受公众的查询。特此郑重声明。 毕业论文作者(签名): 年月日

目录 1 绪论 (3) 1.1研究目的与意义 (3) 1.2研究内容 (3) 2电路原理设计 (4) 2.1 八路抢答电路设计 (6) 2.2定时电路设计 (9) 2.3声响电路的设计 (14) 3 Multisim仿真与制作 (16) 3.1抢答仿真 (16) 3.2定时抢答仿真 (18) 3.3超时抢答仿真 (19) 3.4仿真结果分析 (20) 结束语 (21) 附录 (22) 附录一:元件清单 (22) 附录二:总电路图 (23) 参考文献 (24) 致谢 (25)

基于数字电路多路智能竞赛抢答器原理与设计专业:电子信息科学与技术班级:电科1103 姓名:叶林飞指导老师:刘珊 摘要 随着社会的不断发展,对人才的要求更加急迫,而在高校同学们学科学、学技术、学知识的手段多种多样,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题进行抢答。如果要是让抢答者用举手等方法,会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就必然离不开抢答器。作为一种电子产品,在市场上很多,但价格昂贵,还不能根据具体情况修改一些参数,如答题时间设置,选手的增加等问题不好解决。为此根据实际需要设计了简易的数字抢答器,这样不仅节约成本,这样也能满足具体实际需要[1]。 本文介绍了一种同时供八位选手比赛的抢答器,本设计的数字抢答器由优先编码电路、锁存器、译码电路、显示电路、定时电路及用控制电路组成,能实现8位选手抢答,满足了学校同学们知识竞赛的要求。 关键词: 数字电路; 抢答电路;倒计时电路;报警电路;秒脉冲产生电路

电子抢答器数电课程设计

设计题目:智力竞赛电子抢答器 设计要求及技术指标: 1、抢答器电路分为抢答电路设计和必答电路设计。 2、抢答电路设计具体要求: (1)抢答组数分为四组,序号为1~4。 (2)优先判断与指示电路设计。 (3)主持人清除上次抢答结果的电路设计。 (4)主持人给选手打分电路与选手得分累计显示电路设计。 3、必答电路设计具体要求: (1)30秒必答倒计时电路设计。 (2)超时短暂报警。 第 1 章电路设计简介 1 原理电路设计: 1.1 电路总体工作框图 图1:课程设计的总体框图

整个电路框图如上图所示,主要可分为三个部分,第一部分为四路选手抢搭电路,这部分电路主要采用了四个74LS595来实现四位选手仅选可选通一路的功能实现,并结合使用多个四路与非门(即74LS10)来实现其对计时电路的控制。第二部分为计时电路,其通过两个级联的74LS161与555定时器来实现计时功能,并通过其对于声音警示电路控制。第三部分为选手计分电路,需用了可加可减的74LS192来实现对于选手的加减积分功能。整个电路在学校提供的开发板上,其中所需使用的LED灯、按钮按照开发板作相应的调整。 第 2 章硬件电路的组成 1电路工作原理 当第一位选手按下按钮,74LS373锁存器构成的选通电路就会将低电平传至二极管led的负极,此时若有其他选手再按下按钮,其会导致74LS373处于锁存数据状态,从而使已经亮的小灯不会受到其他选手按钮的影响,另一方面已经选通一路会通过锁存器阻止其他路数据的传入。另一方面四位按钮通过控制74LS161来实现对计时电路的控制,以使得当有选手按下按钮时计时才开始。 计时电路由两个异步清零的模十计数器构成,对其清零通过对于其清零端与使能端置低电平完成,而两位已内置好74LS48的数码管通过与74LS161的输出管脚来实现时间的显示。因为电路要求30秒计时,所以使用计时十位的74LS161的低两位作为是否到达30s的判断信号,当Q1、Q0均为1的时候就是到达30s,此时通过与非门输出来控制扬声器以及停止计时。 选手计分电路通过使用可加可减的74LS192来完成,当其5管脚为上升沿是,其数值加一;而当4管脚为上升沿时,其数值减一。而上升的产生则通过使用电源、地与一个按钮完成。选手分数的显示通过使74LS192的输出管脚与已内置好74LS48的数码管相连来完成。 总体电路的设计思路如上,后期搭建电路过程中依据实际情况做了小幅调整,当整体思路未变。 1.1总体电路原理图如下:

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

4人智力竞赛抢答器设计

4人智力竞赛抢答器设计 (2009-12-13 15:42:40) 转载 标签: 分类:数字电路 智力竞赛 锁存器 倒计时 选手 计数器 it 课题4人智力竞赛抢答器 一、设计内容及要求 设计一台可供4名选手参加比赛的智力竞赛抢答器,具体要求如下: 1、4名选手编号为;1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 2、给主持人设置一个控制按钮,用来控制系统清零(编号显示、数码管熄灭)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在编号显示器上显示该编号,扬声器给出音响提示,同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,要求定时器开始倒计时,并用定时显示器显示倒计时时间,同时扬声器发出音响,音响持续0.5秒。参赛选手在设定时间(9秒)内抢答有效,此时扬声器发出0.5秒音响,同时定时器停止倒计时,显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

5、如果定时抢答时间已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 二、系统原理框图 三、工作原理 电路由脉冲产生电路,锁存电路,编码及译码显示电路,倒计时电路和音响产生电路组成。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经4线7段译码器将数字显示在显示器上,同时产生相应的音响效果。 主持人按开始键时,倒计时电路启动由9计到0,如有选手抢答,倒计时停止。 四、单元电路设计参数计算及元器件选择 1. 编码电路 编码器的作用是把锁存器的输出转化成8421BCD码,送给7段显示译码器。其真值表为:

数电智力竞赛抢答器课程设计完全版

电子技术 课程设计 成绩评定表 设计课题:智力竞赛抢答器 学院名称:电气工程学院 专业班级:电气1503 学生:段帅朋 学号: 201523010310 指导教师: 设计地点:31-220 设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录 1.绪论 (3) 1.1设计目的 (3) 1.2 设计要求 (3) 2.方案设计 (3) 2.1系统工作流程图 (3) 2.2 元器件清单 (4) 2.3主要元器件选择与分析 (5) 2.3.1 轻触开关 (5) 2.3.2 74LS192计数芯片 (5) 2.3.3共阴极数码管以及其驱动芯片74LS48 (6) 2.3.4 74LS175四路D触发器 (7) 2.3.5 555定时器 (8) 2.3.6 集成门电路 (8) 2.3.7 无源蜂鸣器 (8) 3.原理分析 (9) 3.1 抢答必答模式选择及其指示电路 (9) 3.2 抢答电路 (9) 3.3 脉冲产生电路 (10) 3.4单稳态定时电路 (11) 3.5 定时电路 (12) 3.6 音响电路 (13) 3.7整机电路分析 (13) 3.8加减分数电路 (14) 4.设计总结 (15)

1.绪论 1.1设计目的 1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。 2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。 3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。 4、了解电子线路设计的工程,学会书写设计说明书。 5、培养学生严肃、认真的科学态度和工作作风。 6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。 7、培养学生自主学习能力,扩展知识面。 8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。 9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。 1.2 设计要求 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。 3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。 4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。 2.方案设计 2.1系统工作流程图 系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

数电课程设计抢答器

数电课程设计——抢答器 1220223 16 左杉2014.6.25 设计目的 掌握四人智力竞赛抢答器电路的设计方法。 设计任务与要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮S0 ~ S4表示。,按钮的编号与选手的编号对应。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始控制开关S。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 一.抢答器的基本功能 1.设计一个抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个开关表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,并且给出出声响提示,封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 二.使用元件 芯片:74ls75,74ls147,74ls48,74ls08,74ls04,74ls00 其他器件:5个单刀单掷开关,一个7段数码管,一个蜂鸣器,一个三极管,导线若干。 三.具体原理为 1)抢答器电路 该部分主要是由74LS75实现的,此元件是低端有效。当四个选手抢答时,输入的信号为“1”,用74LS20将这四个选手在75芯片对应的非输出端与主持人的主控开关相与非,接入75的使能端(E0/1和E2/3),这样就通过“0”,把75锁定,完成锁定功能。

数字逻辑电路课程设计抢答器

西安邮电学院 数字电路课程设计报告书 ——数字抢答器 学院名称:电子工程学院 学生姓名: 专业名称: 班级: 实习时间: 数字电路课程设计 ------------数字抢答器 一、课程设计题目 数字式抢答器 二、设计任务和要求 1.抢答器同时可供4路参赛选手同时抢答,分别用4个按钮S0~S3来控制。

2. 设置一个主持人开关,用来控制抢答的开始和结束。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为10秒。当主持人启动"开始"键后,定时器采用倒计时计数到0。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,绿灯亮,数码管上显示选手的编号和剩余抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到(计数至0),有人抢答,则为超时抢答。红灯亮,并在数码管管上显示该抢答选手。 7.如果主持人未启动“开始”键,有人抢答,为提前抢答。显示其号码,此时红灯亮提示。 三、数字抢答器总体方案 1. 接通电源后,主持人将开关拨到"高电平"状态,抢答器处于禁止状态,编号显示器显示为0,定时器显示为0。此时, 若有人抢答, 为违规抢答数码管显示其编号, 并红灯警告.定时器显示不变。 2.主持人将开关置于”开始”状态,宣布"开始"抢答,抢答器工作, 定时器倒计时, 选手在定时时间内抢答时,抢答器完成, 编号锁存, 编号显示, 绿灯亮表示抢答有效。

3.若在10秒内无人抢答,10秒后抢答器自动锁定,计数器停止计数,抢答无效。如果再次抢答必须由主持人再次操作"开始"状态开关。 四、单元电路设计 1.抢答器电路的设计 (即完成锁存和显示功能) (1)抢答, 锁存电路: 在这一部分,最主要的是锁存电路,锁存电路主要由74LS75来实现,当74LS75的4,13号管角的信号为使能端,当为“0”时,它将保持原来的状态: 74LS75的管脚图和真值表: 有一组队员按下开关后,Q1,Q2,Q3,Q4中有一个信号为0,则它们四个通过与门后的信号为0,接入E12和E34,7475实现锁存功能,保持状态不变。 对于译码电路,当那个队员抢上后,要显示他的组号,必须把次信号转换为二进制代码。其关系为: 由上真值表知: A= ~Q0 + ~Q2; B= ~Q1+ ~Q2;

智力竞赛抢答器设计

智力竞赛抢答器设计

项目任务书题目:智力竞赛抢答装置的设计与调试 系部电子信息工程学院 学科门类工学 专业光电信息工程 2014年12月15日

智力竞赛抢答装置的设计与调试 摘要 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答 器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏, 再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 关键词:抢答电路定时电路报警电路时序控制

目录 一引 言 (1) 1.1课题来源及意义 (2) 1.2 研究现状及趋 势 (3) 二系统设计 (4) 2.1概述 (5) (阐述抢答器的系统原理,包括综述,组成框图及各部分介绍) 2.2方案比较 (6) (总结各个方案的优缺点,比较几种方案) 2.3 方案确定 (7) (通过上节内容中的几种方案的比较,得出最优方案,并详细介绍)三电路设计与调试 (8) (包括单元电路设计,参数计算,元器件选型,最终得出总电路图,并阐述调试方法与过程)

四总结与展 望 (9) (总结你的设计方案的优缺点,并提出改进方案) 4.1总结 (10) 4.2展 望 (11) 参考文献 (12) 附录 (13) (附系统总体电路图,用正规软件绘制)

数电课程设计(抢答器)

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 多路数字定时抢答器设计 初始条件: 本课程设计,要求用集成电路:74LSl48,74LS279,74LS48,74LSl92,NE555,74LS00,74LSl21和其它器件等,实现八路定时抢答功能。用蜂鸣器作声电器件,工作电源Vcc为+5V。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多路数字定时抢答器的设计、仿真、装配与调试。 2、技术要求: ①可同时供8名选手(或代表队)参赛,其编号分别是0到7,各用一个抢答按钮,按钮的 编号与选手的编号相对应。给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 ②抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存, 并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。 优先抢答选手的编号一直保持到主持人将系统清零为止。 ③抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当主持人启 动“开始”键后,要求定时器立即进行减计时,并用显示器显示,同时扬声器发出短暂的声响,声响持续时间0.5秒左右。 ④参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号 和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,系统进行短暂的报警,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示00。 ⑤确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出 总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

8路数字抢答器课程设计报告

学号: 课程设计 题目八路数字抢答器 学院信息工程学院 专业通信工程 班级 姓名 指导教师 2010年 7月 9日

课程设计任务书 题目:八路数字抢答器 起始条件:要求对数字电路里555电路的运用有所了解,同时熟悉计数电路和译码电路的运用,还要能够运用Multisim软件进行电路的仿真。 要求完成的主要任务: 1.运用数字电路设计一个能够满足特定要求的八路抢答器 2.绘制电路原理图并进行仿真,要求在报告中画出正确的波形。3.按照所画的原理图,在仿真的基础上焊接实物并进行调试。 时间安排: 编号 任务时间 1 查资料、原理图设计3天 2 仿真电路并修改 3天 3 实物焊接与调试5天 4 报告撰写 2天 5 答辩1天 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 课程设计任务书 (2) 摘要 (4) Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 3.1 总体方案设计 (7) 3.1.1 设计思路 (7) 3.1.2 总电路框图 (7) 3.2 各模块设计方案及原理说明 (8) 3.2.1 抢答电路 (8) 3.2.2 倒计时电路 (12) 四、电路仿真 (13) 4.1 抢答电路 (13) 4.2 倒计时电路 (15) 五、实验结果及分析 (17) 六、收获、体会和建议 (19) 附录 (21) 1.总电路图 (21) 2. 元件引脚图 (22) 3.元器件清单 (24) 主要参考文献 (25)

摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim11完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真

智力竞赛抢答器设计报告(DOC)

数字电路课程设计智力竞赛抢答器设计报告

目录 一、设计题目 (1) 二、设计要求与设计说明 (1) 三、课题分析与设计说明 (2) 四、设计思路及原理 (2) 五、单元设计及实现 (3) 1、抢答信号产生电路 (3) 2、编码电路 (3) 3、锁存电路 (4) 4、译码电路 (5) 5、延时电路 (6) 6、振荡电路 (7) 六、总体设计及实现 (9) 七、调试仿真 (10) 八、零件表 (12) 九、设计总结 (13) 十、参考资料 (13)

一、设计题目 智力竞赛抢答器 二、设计要求与设计说明 1、最多可以容纳5名选手或5个代表队参加比赛,他们的编号分别为1、 2、 3、 4、5,各用一个抢答按钮,其编号与参赛者的号码一一对应,此外,还有一个按钮给主持人用来清零,这些按钮(共六个)均采用自制的触摸按钮。 2、抢答器具有数据锁存功能,并将所锁存的数据用LED数码管显示出来。主持人将抢答器清零后,若有参赛者的手指触及抢答器触摸按钮,数码管立即显示出最先动作的选手的编号,同时蜂鸣器发出间歇式声响,声音持续时间约一秒钟。 3、抢答器对参赛选手动作的先后有很强的分辨能力。即使他们动作的先后只相差几毫秒,抢答器也能分辨出来。也就是说,数码管不显示后动作选手的编号,只显示先动作选手的编号并保持到主持人清零为止。 4、在各抢答按钮为常态时,主持人可用清零按钮将数码管变为零态,直至有人使用抢答按钮为止。 5、画出总体电路图并列出元器件清单。

三、课题分析与设计说明 智力竞赛抢答器的抢答部分由抢答信号产生电路、编码电路组成,锁存和显示最先动手选手编号的功能由锁存电路、译码电路组成,延时电路在按钮按下后提供一个约一秒的电平信号,经振荡电路振荡送至蜂鸣器,使蜂鸣器产生约一秒的提示音。主持人清零功能由信号产生电路和锁存电路共同实现。 四、设计思路及原理 模块化电路:方便电路安装和调试。 将电路分为抢答信号产生电路、编码电路、锁存电路、译码电路、延时电路、振荡电路。 抢答信号产生电路是一组自恢复按钮,提供用以编码的电平信号,编码电路实现将按钮编号编码为二进制数,锁存电路实现对编码的二进制数的锁存,以及将二进制数输入译码电路,译码电路实现将二进制数译码并显示在数码管上,延时电路在按钮按下后产生一个约一秒的电平信号,触发振荡电路,振荡电路起振,蜂鸣器产生约一秒的间断提示音。

智力竞赛抢答器

抢答器是竞赛问答中的一种常用的必备装置,从原理上讲,它也是一种典型的数字电路,包括了组合逻辑电路和时序逻辑电路。 一、设计目的 1. 掌握抢答器的设计方法。 2. 熟悉锁存器的应用。 3. 了解学习触摸开关和发声元件。 二、设计指标 (1) 可供四组抢答,有人抢答时,蜂鸣器发声,同时优先抢答者对应的指示灯亮,而后抢答者对应的指示灯不亮。 (2) 主持人具有将抢答器复原的功能。 (3) 抢答者和主持人的按钮开关采用触摸按钮,蜂鸣器用压电陶瓷蜂鸣器作发声元件。 三、设计提示及参考电路 1.抢答器的基本工作原理 智力竞赛抢答器是用来判断哪一个预定状态首先发生的电路,图10-1所示是抢答器的原理框图,主要由开关阵列电路、触发锁存电路、显示电路几部分构成。 开关阵列电路触发 锁存 电路 显示 电路

图10-1 抢答器组成框图 开关阵列电路是由多路开关组成,竞赛者与开关相对应。 触发锁存电路是当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱。 显示电路即按钮开关按下时对应的指示灯亮。 图10-2是用CMOS四D锁存器和门电路组成的四组抢答器。抢答开始前,抢答者的四个按钮S0~S3路均末按下,锁存器CC4042的输入端D0~D3都为0,主持人通过复位按钮S0使时钟端CL为l,因此锁存器清零,四个发光二极管均不亮:同时G1门输出为0,蜂鸣器不发声。 图10-2 四路抢答器原理图 当有人抢答时,例如按钮S1被按下,锁存器的D1输入端为1,对应的输出端Ql由0变为1,经CC4049反相后驱动对应的发光二极管发光;同时Q1’由1变为0,使G1门输出为1,蜂鸣器因此而发声,表示S1对应的选手优先抢答成功。G1门输出的高电平经过G2门后使CC4042的时钟端CL由1变0(此时主持人的按钮S4已经断开),从而使其由接收状态转为锁存状态,禁止后抢答者的信号存入锁存器,因此即使其他选手再按下所对应的按钮也不起作用。 2.触摸按钮 图10-3是两种自制的触摸按钮的原理图。触摸开关是两块距离约为1mm彼

数电课程设计报告-抢答器

课程设计报告 题目数字抢答器设计 课程名称数字电子技术院部名称龙蟠学院 专业自动化 班级M11自动化学生姓名王栋明 学号1121112015指导教师赵国树 金陵科技学院教务处制

目录 第一章设计要求 (5) 第二章总体参考方案 2.1 总体参考方案框图 (5) 2.2 总体参考时序逻辑 (6) 第三章单元电路设计 3.1 定时电路 3.1.1 电路设计 (6) 3.1.2 元件说明 (7) 3.2 开关阵列电路 3.2.1 电路设计 (8) 3.3 门控电路 3.3.1 电路设计 (8) 3.2.2 元件说明 (9) 3.4 编码电路 3.4.1 电路设计 (11) 3.4.2 元件说明 (12) 3.5 报警电路 3.5.1 电路设计 (13) 3.5.2 元件说明 (13) 3.6 显示电路

3.6.1 电路设计 (13) 3.6.2 元件说明 (13) 第四章整体电路图 (15) 第五章各部分功能的实现 5.1 按下S1启动定时30S,开始抢答,LED灯亮 (15) 5.2 某位选手抢答有效 (16) 5.3 清零、灭灯、复位 (16) 第六章心得体会

第一章设计要求 1. 数字抢答器应具有数码锁存、显示功能,抢答组数分为八组,即序号0、1、 2、3、4、5、6、7,优先抢答者按动本组开关,组号立即锁存到LED显示器上,同时封锁其它组号。 2. 系统设置外部清除键,按动清除键,LED显示器自动清零灭灯。 3. 数字抢答器定时为30秒,启动起始键后,要求:①30秒定时器开始工作; ②蜂鸣器要短暂报警;③发光二极管亮灯。 4. 抢答者在30秒内抢答,抢答有效,终止定时;30秒定时到,无抢答者本次抢答无效,系统短暂报警,发光二极管灭灯。 第二章总体参考方案 2.1、总体参考方案框图 2.2、总体参考时序逻辑 它包括定时电路、门控电路、译码显示电路、8线-3线优先编码器、RS锁存器和报警电路等六个部分组成。其中定时电路、门控电路、译码显示电路及8线-3线优先编码器三部分的时序配合尤为重要,当启动外部操作开关(起始键)时,定时器开始工作,同时打开门控电路,输出有效,8线-3线优先编码器等待

数字竞赛抢答器课程设计Verilog语言实现

数字竞赛抢答器课程设计Verilog语言实现

可编程器件与应用课程设计报告 姓名:XXX 学号:XXXXXXXXXX 专业班级:信息XXX 题目:数字式竞赛抢答器 指导老师:

一、绪论 背景: 随着电子技术的发展,可编程逻辑器件(PLD)的出现,使得电子系统的设计者利用EDA(电子设计自动化)软件,就可以独立设计自己的专用集成电路(ASIC)器件。可编程逻辑器件是一种半导体集成器件的半成品。在可编程逻辑器件的芯片中按一定方式(阵列形式或单元阵列形式)制作了大量的门、触发器等基本逻辑器件,对这些基本器件适当地连接,就可以完成某个电路或系统的功能。 数字式竞赛抢答器控制系统是工厂、学校和电视台等单位举办各种智力竞赛等娱乐活动中经常使用的重要基础设备之一。目前设计抢答器的方法很多,例如用传统的PCB板设计、用PIC 设计或者用单片机设计。而用Verilog可以更加快速、灵活地设计出符合各种要求的抢答器,优于其他设计方法,使设计过程达到高度自动化。本文介绍的4路数字式竞赛抢答器基于Verilog 语言、以EDA技术作为开发手段、采用CPLD (复杂的可编程逻辑器件)作为控制核心设计而成。与传统设计相比较,不仅简化了接口和控制,

也提高了系统的整体性能和工作可靠性,具有电路简单、成本低廉、操作方便、灵敏可靠等优点。意义: 数字式竞赛抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求。 二、实现方案 设计要求: 1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置5分,由主持人记分,答对一次加1分,答错一次减1分。

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

数电课程设计报告《八路数字抢答器》

数字电路课程设计 数字抢答器 设计报告 姓名: 学号: 专业:电子信息工程系 指导教师: 2012年04 月18 日

八路数字抢答器 一、设计目的及任务 1.设计的目的 通过八路数字抢答器的设计实验,要求大家回顾所学数字电子技术的基础理论和基础实验,掌握组合电路、时序电路、编程器件和任意集成电路的综合使用及设计方法,熟悉掌握优先编码器、触发器、计数器、单脉冲触发器、555电路、译码/驱动电路的应用方法,熟悉掌握时序电路的设计方法。达到数字实验课程大纲所要求掌握的基本内容。 2.设计的任务及要求 (1)基本功能 ①设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参赛,他们的选号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号对应分别是S0、S1、S2、S3、S4、S5、S6、S7。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。 ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持主持人将系统清零为止。(2)扩展功能 ①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目支持人启动“开始”键后,要求定时器立即减计时,并用显示器显示。 ②参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 ③如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 二、电路设计总方案及原理框图 1. 设计思路 (1)本题的根本任务是准确判断出第一抢答者的信号并将其锁存。实现这一功能可选择使用触发器或锁存器等。在得到第一信号之后应立即将电路的输入封锁,即使其他组的抢答信号无效。同时还必须注意,第一抢答信号应该在主持人发出抢答命令之后才有效。

数字抢答器课程设计

数字抢答器 摘要 当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,那么也就必然离不开抢答器。因此抢答器是机关学校、电视台等单位开展智力竞赛活动必不可少的设备,通过抢答者的按键、数码显示等能准确、公正、直观地判断出优先抢答者。本产品采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,由数字电路以及外围电路组成,分为八路抢答;在抢答同时附有声音输出接口,提示主持人此时已完成这次的抢答。不仅如此,为了方便不同场合的智力竞赛活动,为需要定时答题者提供可调计时器,无需人工参与。与其他抢答器电路相比较有分辨时间极短、结构清晰、成本低、易操作、制作方便等优点。 关键词:竞赛活动、抢答、锁存、复位、可调倒计时

目录 1 引言 (3) 2 设计目的 (3) 3 设计指标及要求 (3) 4 总体框图设计与论证 (4) 5 功能模块设计及系统工作分析 (5) 5.1功能模块设计 (5) 5.2 系统工作原理分析 (6) 5.3主要元器件功能介绍 (7) 5.3.1锁存器(74HC573) (7) 5.3.2优先编码器(74LS147) (8) 5.3.3计数器(74LS192) (9) 5.3.4显示译码器(CD4511、74LS48) (10) 6 实验器材清单 (13) 7 设计步骤及各功能电路调试 (14) 7.1 仿真 (15) 7.2 调试锁存器电路 (15) 7.3 调试编码与译码显示电路 (16) 7.4调试控制电路 (16) 7.5秒脉冲 (16) 7.6调时电路 (17) 8 心得体会 (17) 谢辞 (19) 参考文献 (20) 附录A: (21) 附录B: (22)

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目:八路智力竞赛抢答器设计 姓名: 专业:电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

数字电路课程设计--数字抢答器

智力竞赛抢答器 一、本次课程设计目的 1.结合所学的数字电路的理论知识来完成数字电路课程设计。 2.在数字电路的课程设计中,熟悉数字电路的逻辑设计过程以及集成电路的使用。 3.学会利用一些没学过的来设计电路。 4。学会用软件方法仿真电路。 二、本次课程设计安排 1、时间安排 略。 2、地点安排 S2403实验室。 智力竞赛抢答器 1 设计目的 (1)熟悉集成电路的引脚安排及使用方法。 (2)掌握各芯片的逻辑功能及使用方法。 (3)了解面包板结构及其接线方法。 (4)了解数字抢答器的组成及工作原理。 (5)熟悉数字抢答器的设计与制作。 (6)学会用软件方法仿真电路。 2 设计思路 (1)设计抢答器电路。 (2)设计可预置时间的定时电路。 (3)设计报警电路。(选做) (4)设计时序控制电路。(选做) 3 设计过程 3.1方案论证

图 1 数字抢答器框图 数字抢答器总体方框图如图1所示。, 其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。 3.2电路设计 抢答器电路如图2所示。 图2 数字抢答器电路 该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。

相关文档
相关文档 最新文档