文档库 最新最全的文档下载
当前位置:文档库 › 实训:微机常见故障处理实训报告

实训:微机常见故障处理实训报告

实训:微机常见故障处理实训报告
实训:微机常见故障处理实训报告

实训12:微机常见故障处理实训报告(10分)答案

计算机组装实训报告范文

计算机组装实训报告范文 初踏社会,心情激动、紧张。激动的是我终于可以长大了,可以开始我真正的人生;紧张的是不知自己是否能适应这个社会,战胜这新环境。 我最先到我哥所在的公司做了一个多月,刚进来公司的时候老板就和我聊了很多,问我网络方面会不会,组装和维护计算机有过吗?我当时真是出了一把冷汗,不过还好平日在学校都有这方面的动手,自己的电脑被我也折腾过一段时间,还是游刃有余的回答了经理的问题.记得实习开始的那一天,我是在无聊中度过的,整日无所事事,几乎在办公桌前坐了一天,空闲得让我要打瞌睡了,真希望可以有人叫我帮忙做点事情啊!过了几天后,才开始做事,可是每天就是单纯的组装和维护计算机,我当然全力以赴,尽心尽力做到最好。现在想想其实这就是我的工作。 后来回武汉在电脑城做了一段时间,觉得没有学到什么东西,于是便回家开了一个电脑维修店,这时,有个哥们要搞个网吧,叫我帮忙, 当时想没关系的,我有信心,怎么说也跟计算机相关,不懂就多动手多请教应该很快领悟过来的!劳动节第一天我就开始动手实干了。布线、接水晶头、装机、搞网络和调试;其实除了我,还有一个比较有经验的师傅一起做的,我一边做还可以一边学,他也会在一边指导,很快

我对一些一直没接触过的事物了解过来!就这么一搞假期一下子就过去了,每天都是早上忙到晚上,很累,从未那么累! 当网吧搞好后,那个师傅也走了,以后的事情都要我一个人去解决了,处理网吧的电脑,打印机的故障,不知道是否能够顺利解决,而当真正将故障排除后,心里头有种说不出的高兴,我知道我的能力十分有限,但我始终没怕过,让我自己去摸索自己去解决,就算遇到实在不行的话,我会求助同学和朋友,给我做技术支持。我不怕问题的到来,因为问题总是可以得到解决的!通过这样我学习了许多,成长了很多。 这个五月的确很累,每天拖着疲惫的身子回家,但是后面也渐渐就习惯了。不断有新的知识学到,心里有一种充实感。大概在月的时候,一个同学联系我一起做网站,网页制作可是我大学期间最喜欢的一个科目,现在竟然可以让我在工作中实践,我真的很开心。但是做了一段时间后,就没有什么劲了,于是便把网站卖了,在家里安心做事,在家里什么都好,就是信息没有武汉快,幸好有电脑,知识也慢慢的学的多了,时不时上网找找资料,掌握的也就越多了. 在这段实习的时间里,我经历了很多以前没有的事,就比如第一次领工资虽然只是一点点的钱,但却是我人生的转折点,一个人在他的学生时代最重要的是学习东西,增长见识,锻炼能力,尤其在大学学习时候,参于社会实践活动是

北航实验报告实验实验

实验三UC-OS移植实验 一、实验目的 在内核移植了uCOS-II 的处理器上创建任务。 二、实验内容 1.运行实验十,在超级终端上观察四个任务的切换。 2. 任务1~3,每个控制“红”、“绿”、“蓝”一种颜色的显示,适当增加OSTimeDly()的时间,且优先级高的任务延时时间加长,以便看清三种颜色。 3.引入一个全局变量BOOLEAN ac_key,解决完整刷屏问题。 4. #define rUTRSTAT0 (*(volatile unsigned *)0x50000010) #define RdURXH0()(*(volatile unsigned char *)0x50000024) 当键盘有输入时在超级终端上显示相应的字符。 三、实验设备 硬件:ARM嵌入式开发平台、用于ARM920T的JTAG仿真器、PC机Pentium100以上。 软件:PC机操作系统Win2000或WinXP、ARM ADS1.2集成开发环境、仿真器驱动程序、超级终端通讯程序。 四、实验原理 所谓移植,指的是一个操作系统可以在某个微处理器或者微控制器上运行。虽然uCOS-II的大部分源代码是用C语言写成的,仍需要用C语言和汇编语言完成一些与处理器相关的代码。比如:uCOS-II在读写处理器、寄存器时只能通过汇编语言来实现。因为uCOS-II在设计的时候就己经充分考虑了可移植性,所以,uCOS-II的移植还是比较容易的。 要使uCOS一工工可以正常工作,处理器必须满足以下要求: 1)处理器的C编译器能产生可重入代码。 2)在程序中可以打开或者关闭中断。 3)处理器支持中断,并A能产生定时中断(通常在10Hz}1000Hz之间)。 4)处理器支持能够容纳一定量数据的硬件堆栈。 5)处理器有将堆栈指针和其它CPU寄存器存储和读出到堆栈(或者内存)的指

北航微机原理实验1报告

实验一字符串排序 实验时间:2015.11.21 实验编号:同组同学:无一、实验背景 本实验可以实现对任意长度字符串的进行从小到大的排序并回显到屏幕上。 二、实验原理 本实验的采用的实验原理主要是冒泡法 原理图如下: 三、预习思考题的实验验证分析 预习思考题的实验分析验证(包括程序代码以及程序的执行结果)已经在预习报告中做出回答,在此不再进行赘述。 四、实验过程与结果 1、实验过程:(1)双击计算机桌面上的TPC-2003实验系统配套的软件;

(2)新建一个源程序,在当前运行环境下,选择菜单栏中的“文件”菜单,菜单下拉后选择“新建”,会弹出新建窗口,选择新建表单中的“ASM”,点击“确定”,新建汇编程序; (2)根据题目要求设计程序,并将其输入到软件界面上; (3)保存新建的源程序; (4)点击“汇编”的快捷键,编译调试窗口中显示出汇编结果,程序没有错误; (5)打开命令提示符操作界面,将生成的可执行文件拖入到其中,回车即可运行该程序; (6)对程序是否达到实验要求进行实验验证。 2、实验结果:本实验达到了以下要求 1、利用INT 21H的1号功能,从键盘输入任意长度的字符串,以回车符结束; 2、将输入的字符串存放在数据段中; 3、对输入的字符串按ASCII码从小到大排序(ASCII小者占低地址存放); 4、将排好序的字符串利用INT 21H的9号功能显示在微机屏幕上。 实验程序如下: STACK SEGMENT STACK DB 100 DUP(?) STACK ENDS DATA SEGMENT STR DB 100 DUP(?) DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK MAIN PROC MOV AX,DATA MOV DS,AX MOV SI,OFFSET STR

计算机组装与维护实训报告

实训报告书实训名称:计算机组装与维护 系(部): 专业班级: 学生姓名: 学号: 指导教师: 完成日期: 某某大学

目 录 一、实训目的………………………………………………2 二、实训要求………………………………………………2 三、实训地点………………………………………………2 四、实训过程 1、计算机硬件的识别....................................2 2、计算机硬件的组装....................................6 3、部分硬 件简述..........................................7 4、计算机系统的安装....................................8 5、软件的安装.............................................10 6、计算机维护与优化.................................10 五、外出实训...................................................13 六、实训总结...................................................13 七、电脑配置 (14) ……………………………装……………………………………订…………………………………线……………………………

一、实训目的: 1、练习和巩固识别计算机部件的方法,并能在一定的条件下判断计算机部件 的好坏与优劣。 2、练习和巩固多媒体计算机硬件安装基本方法与步骤,锻炼学生的动手能力,使学生不仅仅能组装计算机,更能合理和更优的方式组装计算机。 3、练习和巩固计算机软件的安装方法与步骤,使得学生掌握在真实的、实际工作中安装多媒体计算机软件的方法与步骤。 4、熟练掌握BIOS 、硬盘分区及格式化 5、掌握和巩固多媒体计算机故障处理。能在遇到的各种硬件、软件的故障处理中,自己动手和动脑、并在老师的指导下,掌握基本的处理方法,积累一定的经验。 二、实训要求: 识别计算机的各个部件,能自己动手组装一台计算机。熟悉计算机的实体构成,以及一些芯片的应用和在计算机实体中的位置。了解计算机硬件发展状况,及价格情况,可以独立安装计算机硬件及线路。 三、实训地点 : 四、实训过程: 1、计算机硬件的识别 计算机由运算器、控制器、存储器、输入设备和输出设备等五个逻辑部件组成。 从外观上来看,微机由主机箱和外部设备组成。主机箱内主要包括CPU 、内存、主板、硬盘驱动器、光盘驱动器、各种扩展卡、连接线、电源等;外部设备包括鼠标、键盘、显示器、音箱等,这些设备通过接口和 ……………………………装……………………………………订…………………………………线……………………………

北航计软实验报告一

北航计软实验报告一

————————————————————————————————作者: ————————————————————————————————日期:

计算机软件基础上机实验报告(一) XXXXXX班XXX 1.实验目的 掌握线性表在顺序分配下的插入与删除运算;掌握线性表的链式存储结构;掌握插入排序的方法;并掌握一种产生随机数的方法。 2.实验内容 1.产生1000个0至999间的随机整数,并以产生的次序存入一个数据文件中。 2.编制一个程序,依次实现以下功能: (1)?定义一个有序(非递减)线性表,其最大容量为1000,初始时为空。 (2)?从由1产生的数据文件中依次取前N个随机整数,陆续插入到此线性表中,并要求在每次插入后保持线性表的有序性。最后将此有序线性表打印输出。 (3)?在由(2)产生的线性表中,依在1中产生的次序逐个将元素删除,直至表空为止。 3. 以N=100及N=400分别运行2的程序,并比较它们的运行时间。 4. 编写一个程序,用插入排序依次将1中产生的1000个随机整数链接成有序链表(不改变原随机数在存储空间中的顺序)。 3.源代码与运行结果 #include<stdio.h> #include<stdlib.h> #include /** 1_1 产生1000个0至999间的随机整数,并以产生的次序存入一个数据文件(1_1.txt)中。 **/ main() { FILE *fo=fopen("1_1.txt","w"); int i,j; srand((unsigned)time(0)); for(i=0;i<=999;i++)fprintf(fo,"%d\n",rand()%1000); fclose(fo); printf("1000个随机数已输出至目录下1_1.txt文件\n");

微机原理实验四实验报告

实验报告

实验四 8251可编程串行口与PC机通信实验一、实验要求 利用实验箱内的8251A芯片,实现与PC机的通信。 二、实验目的 1.掌握8251A芯片结构和编程方法; 2.了解实现串行通信的硬件环境,数据格式和数据交换协议; 3.了解PC机通信的基本要求。 三、实验原理 (一)8251A芯片工作方式配置: 1. 8个数据位; 2.无奇偶校验位; 3.1个停止位; 4.波特率因子设为16; 5. 波特率设为9600。 (二)8251A主要寄存器说明 图4-1 模式字 图4-2 命令字

CO MMAN D I NSTR UCT ION FO RMA T 图4-3 状态字 (三)8251编程 对8251 的编程就是对8251 的寄存器的操作,下面分别给出8251 的几个寄存器的格式。(1)方式控制字 方式控制字用来指定通信方式及其方式下的数据格式,具体各位的定义如图4-4所示。 图4-4 方式控制字说明 (2)命令控制字 命令控制字用于指定8251 进行某种操作(如发送、接收、内部复位和检测同步字符等)或处于某种工作状态,以便接收或发送数据。图4-5 所示的是8251 命令控制字各位的定义。 图4-5命令控制字说明 (3)状态字 CPU 通过状态字来了解8251 当前的工作状态,以决定下一步的操作,8251 的状态字如 图4-6所示。 图4-6 状态字说明 四、实验电路连接: 1.CS8251接228H,CS8279已固定接至238H; 2.扩展通信口18中的232RXD连8251RXD ,232TXD连8251TXD;

3.计算机的两个RS232通信口,一个连至仿真机通信口,一个连至扩展通信口18(所有通信口均为DB9)。注意:RS232通信口必须在设备断电状态下插拔! 图4-7 连线图 五、实验内容及要求 1. 将例程从PDF文档中导入到WMD86软件编辑环境中,调试通过。使用软件自带的示波器,观察Txd管脚的输出,验证结果的正确性。将结果截图保存,贴入实验报告。 2.剔除例程中冗余部分,实现对例程的精简和优化。将精简内容与相应理由写入实验报告。 3.将自己学号的后三位数字通过RS232端口的Txd管脚输出。使用软件自带的示波器,观察Txd管脚的输出,验证结果的正确性。将结果截图保存,贴入实验报告。 4.通过读状态寄存器的方法,获得发送移位寄存器是否为空的信息,实现学号后三位数字的循环发送。将结果截图保存,贴入实验报告。 5.给每帧数据间添加固定的时间间隔,时间间隔为10000个指令周期。将结果截图保存,

微机组装实训报告范文

三一文库(https://www.wendangku.net/doc/119223159.html,)/实习报告 微机组装实训报告范文 一、装机目的 通过计算机的组装,识别计算机的各个部件,了解计算机的整个组装过程和注意事项,能自己动手组装一台计算机。从而能够配置更加好的个人与工作计算机,实现计算机工作的化。 二、性能分析 1、硬件 (1)电源:电源是计算机的能量之源,它给电脑的各个部件提供电能。电源又分为AT电源和ATX电源,两者主要从是否支持软件关机区分。 (2)主板: (3)CPU:它实际上是一块集成电路芯片,安装在主板的CPU插座上,是计算机的“大脑”,担负着对各种指令和数据进行和分析和运算的任务。计算机速度快不快,主要就要看它的表现了。 (4)内存:内存是CPU与外部数据存储设备之间交换的一个桥梁。CPU工作时,先把部分常用数据读入内存,使用的时候直接从内存中读取。由于内存的数据存取数据比硬盘快,这样就

提高了计算机的响应速度。所以,内存越大,预读的数据就越多,当然,计算机处理数据的速度也就越快了。但是在断电的时候数据都会消失。 (5)硬盘:硬盘是计算机数据存放的地方,计算机内所有的图片、文字、音乐等等信息都是以文件的形式存在硬盘内的。它和内存不同的就是断电数据不会丢失。 (6)声卡:声卡与显卡的外型都很相似(主要在接口上区分),声卡的作用是充当系统与音响之间的“翻译”把CPU处理后的数字信号能让音频信号传递给音响。现在主板上都有集成的声卡,如果不是特别对音质有要求的话,完全可以不用买独立声卡。 (7)显卡:显卡在显示器与CPU之间充当“翻译”的作用,把CPU处理的数据信号“翻译”成显示器能显示的“模拟信号”。现在的显卡都有3D图形加速功能,可以减少CPU的工作量,让CPU 有更多的时间去运行程序,从而提高计算机的整体性能。 (8)网卡:它是将计算机与网络连接在一起的输入输出设备。和显卡、声卡很相似,主要从接口上区分,现在主板上都集成有网卡,所以一般的PC机也不用再多购买网卡。 (9)软驱:它是用来读软盘上的数据的,外形和硬盘相似,只是前端多出来一个口子,是用来插软盘的。但是现在软驱慢慢被光驱取代了,PC机上很少见到了,放假面具的时候只是在服务器上见到过。 (10)光驱:光驱又叫光盘驱动器,是用来读取光盘上的数

计算机组装毕业实习报告_实习报告_范文大全

计算机组装毕业实习报告_实习报告_范文大全 计算机组装毕业实习报告(一) 一、实习目的: 1、练习和巩固识别计算机部件的方法,并能在一定的条件下判断计算机部件的好坏与优劣。 2、练习和巩固多媒体计算机硬件安装基本方法与步骤,锻炼学生的动手能力,使学生不仅仅能组装计算机,更能合理和更优的方式组装计算机。 3、练习和巩固计算机软件的安装方法与步骤,使得学生掌握在真实的、实际工作中安装多媒体计算机软件的方法与步骤。 4、掌握和巩固多媒体计算机故障处理。能在遇到的各种硬件、软件的故障处理中,自己动手和动脑、并在老师的指导下,掌握基本的处理方法,积累一定的经验。 二、实习地点: 四教学楼6楼,计算机组装与维修实验室 三、时间: 第十四周,星期一至星期五 四、指导老师 五、实习组员: 向前、谢静、陈永兰、刘丹

组长:向前 六、实习计划: 七、实习过程: 20XX-12-11 星期一上午地点:石桥铺电脑城 星期天接到老师的实习要求,需要Windosw 98安装光盘一张(带有启动功能),所以就去石桥铺电脑城购买,顺便去看看现在流行的硬件,开阔眼界,扩充知识。 买到光盘发现有人在买电脑,这样的好机会,我怎么会放过呢? 买家是某大学的大一的新生,买电脑的要求为:玩游戏、上网、学习,组装机,价位是5000元左右。商家给出下面的配置单: 配件型号 CPU AMD Athlon 64 2800+ 主板华硕K8N 内存金士顿512MB DDR400 硬盘日立7K 250 80G DVD-Rom 台电女神V100 16XDVD-Rom 显卡迪兰恒进镭姬杀手9550

这都是些主要的,对学生这样的配置应该是可以了,CPU是AMD的,本人用的也是AMD 的,和同班的电脑比起来也差不到那去,都说AMD的CPU发热量很大,但是我的电脑一般都是长开机的,没有什么不正常的。而且价格也比同类的INTEL低很多。就是硬盘小了点,120G才够吧。显卡有128M的显存,玩3D游戏也足够了,做简单的图形设计也行。 20XX-12-11 星期一下午地点:四号教学楼六楼机房 老师给每个小组分发电脑配件进行组装。 1、识别各个硬件部件 CPU:它实际上是一块集成电路芯片,安装在主板的CPU插座上,是计算机的“大脑”,担负着对各种指令和数据进行分析和运算的任务。计算机速度快不快,主要就要看它的表现了。 内存:内存是CPU与外部数据存储设备之间交换的一个桥梁。CPU工作时,先把部分常用数据读入内存,使用的时候直接从内存中读取。由于内存的数据存取速度比硬盘快,这样就提高了计算机的响应速度。所以,内存越大,预读的数据就越多,当然,计算机处理数据的速度也就越快了。但是在断电的时候数据都会消失。 显卡:显卡在显示器与CPU之间充当“翻译”的作用,把CPU处理的数据信号“翻译”成显示器能显示的“模拟信号”。现在的显卡都有3D图形加速功能,可以减少CPU的工作量,让CPU有更多的时间去运行程序,从而提高计算机的整体的性能。 声卡:声卡与显卡的外型都很相似(主要在接口上区分),声卡的作用是充当系统与音响之间的“翻译”把CPU处理后的数字信号能让音响识别的音频信号传递给音响。现在主板上都有集成的声卡,如果不是特别都音质有要求的话,完全可以不用再买独立声卡。 硬盘:硬盘是计算机数据存放的地方,计算机内所有的图片、文字、音乐等等信息都是以文件的形式存放在硬盘内的。它和内存不同的就是断电数据不会丢失。 光驱:光驱又叫光盘驱动器,是用来读取光盘上的数据的,它是由一个金属外壳封起来的。由于光盘的可以存储大量的数据(600M左右),所以,有了光驱就可以听CD、看电影、

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

北航数字图象处理实验报告

数字图像处理实验报告 实验二图像变换实验 1.实验目的 学会对图像进行傅立叶等变换,在频谱上对图像进行分析,增进对图像频域上的感性认识,并用图像变换进行压缩。 2.实验内容 对Lena或cameraman图像进行傅立叶、离散余弦、哈达玛变换。在频域,对比他们的变换后系数矩阵的频谱情况,进一步,通过逆变换观察不同变换下的图像重建质量情况。 3. 实验要求 实验采用获取的图像,为灰度图像,该图像每象素由8比特表示。具体要求如下: (1)输入图像采用实验1所获取的图像(Lena、Cameraman); (2)对图像进行傅立叶变换、获得变换后的系数矩阵; (3)将傅立叶变换后系数矩阵的频谱用图像输出,观察频谱; (4)通过设定门限,将系数矩阵中95%的(小值)系数置为0,对图像进行反变换,获得逆变换后图像; (5)观察逆变换后图像质量,并比较原始图像与逆变后的峰值信噪比(PSNR)。 (6)对输入图像进行离散余弦、哈达玛变换,重复步骤1-5; (7)比较三种变换的频谱情况、以及逆变换后图像的质量(PSNR)。 4. 实验结果 1. DFT的源程序及结果 J=imread('10021033.bmp'); P=fft2(J); for i=0:size(P,1)-1 for j=1:size(P,2) G(i*size(P,2)+j)=P(i+1,j); end end Q=sort(G); for i=1:size(Q,2) if (i=size(Q,2)*0.95) t=Q(i); end end G(abs(G)

微机原理实验报告

微 机 原 理 实 验 报 告 班级: 指导老师:学号: 姓名:

实验一两个多位十进制数相加的实验 一、实验目的 学习数据传送和算术运算指令的用法 熟悉在PC机上建立、汇编、链接、调试和运行汇编语言程序的过程。 二、实验内容 将两个多位十进制数相加,要求被加数和加数均以ASCII码形式各自顺序存放在以DATA1、DATA2为首的5个内存单元中(低位在前),结果送回DATA1处。 三、程序框图 图3-1

四、参考程序清单 DATA SEGMENT DATA1 DB 33H,39H,31H,37H,34H;被加数 DATA1END EQU $-1 DATA2 DB 34H,35H,30H,38H,32H;加数 DATA2END EQU $-1 SUM DB 5 DUP(?) DATA ENDS STACK SEGMENT STA DB 20 DUP(?) TOP EQU LENGTH STA STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK,ES:DATA START: MOV AX,DATA MOV DS,AX MOV AX,STACK MOV SS,AX MOV AX,TOP MOV SP,AX

MOV SI,OFFSET DATA1END MOV DI,OFFSET DATA2END CALL ADDA MOV AX,4C00H INT 21H ADDA PROC NEAR MOV DX,SI MOV BP,DI MOV BX,05H AD1: SUB BYTE PTR [SI],30H SUB BYTE PTR [DI],30H DEC SI DEC DI DEC BX JNZ AD1 MOV SI,DX MOV DI,BP MOV CX,05H CLC AD2: MOV AL,[SI] MOV BL,[DI] ADC AL,BL

计算机组装与维护实训指导书

《计算机组装与维护》课程实训指导书 一、主要目的 《计算机组装与维护》是高职高专计算机类专业开设的一门实训性较强的课程,其目标定位为培养计算机维护与组装方面的技能型,应用型人才,如果光靠单纯的理论教学和少量的实验,是不能系统、全面地掌握计算机软件和硬件的安装和维护。为了便于学生熟练、全面地掌握计算机软件和硬件的选购、安装、设置和维护,特为教材配套编写了这本《计算机组装与维护》实训指导书。实训是计算机组装与维护课程重要的实训环节,实训的目的是锻炼和培养学生实际操作技能和解决问题的综合能力。可在学生基本掌握计算机硬件理论知识的基础上安排10个实训,提高学生软硬件安装的水平和排除故障的能力。本指导手册根据《计算机组装与维护教学大纲》的实训要求进行编写,在内容编排上紧扣教学内容,除了完成《计算机组装与维护教学大纲》中规定的10个实训操作项目之外,力求做到目的明确,条理清楚,对学生具有指导性。学生通过本实训的实训,具有计算机组装,维修和维护的基本技能,能跟进计算机技术的最新发展,适应行业相应岗位的需求.实训表明,本课程实现了很好的教学效果。 二、基本要求 本课程是理论联系实际的课程,学习理论后必需实训操作,才能培养学生的动手能力,为以后从事本专业的应用打下基础,因此各部分的实训安排均配合本课程的教学大纲进行。具体要求如下: (一) 知识教学要求 1. 掌握计算机各部件的选购、安装方法;

2. 理解计算机系统的设置、调试、优化及升级方法; 3. 能分析和处理计算机系统常见故障形成的原因及处理方法。 (二) 能力培养要求 1. 能根据用户需求合理选择计算机系统配件; 2. 能熟练组装一台计算机并进行必要的测试; 3. 能熟练安装计算机操作系统和常用应用软件; 4. 能初步诊断计算机系统常见故障,并进行简单的板级维修; 5. 能对常用外设进行安装、使用及日常维护。 (三) 思想教育要求 1. 具有吃苦耐劳的工作精神和严谨的工作态度; 2. 具备良好的服务意识和市场观念; 3. 具备精益求精的工作态度和敬业精神 4、独立完成实训报告。 三、实训内容

微机组装实验报告

微机组装实验报告 一.实验内容 1、了解计算机的硬件系统 2、在规定的时间内完成一台计算机的完全拆卸并且完全还原的组装拆卸训练二.实验目的 1、熟悉计算机的基本配置及各部件的功能 2、掌握计算机的组装过程 三.实验过程及步骤 1、实验的主要过程及步骤 ①交代任务,强调实验的重点及注意事项 ②老师演示重点环节 ③拆卸计算机: 观察机箱外观---打开机箱并观察机箱内的部件---分解各个部件之间的连接---观察主板---拆卸主板上的部件 ④组装计算机: 安装cpu---散热风扇---内存条---电源盒---主板---显卡---光驱---硬盘---连接主板控制线 2、主要部件的功能及参数介绍: ①中央处理器(英文Central Processing Unit,CPU)是一台计算机的运算核心 和控制核心。CPU、内部存储器和输入/输出设备是电子计算机三大核心部件。其功能主要是解释计算机指令以及处理计算机软件中的数据。CPU由运

算器、控制器和寄存器及实现它们之间联系的数据、控制及状态的总线构成。 差不多所有的CPU的运作原理可分为四个阶段:提取(Fetch)、解码(Decode)、执行(Execute)和写回(Writeback)。CPU从存储器或高速缓冲存储器中取出指令,放入指令寄存器,并对指令译码,并执行指令。所谓的计算机的可编程性主要是指对CPU的编程。 ②主机板:又叫主板(mainboard)、系统板(systemboard)或母板(motherboard);它 安装在机箱内,是微机最基本的也是最重要的部件之一。主板一般为矩形电路板,上面安装了组成计算机的主要电路系统,一般有BIOS芯片、I/O 控制芯片、键盘和面板控制开关接口、指示灯插接件、扩充插槽、主板及插卡的直流电源供电接插件等元件 ③内存条:内存条是连接CPU 和其他设备的通道,起到缓冲和数据交换作用。 库”太大,加上离CPU也很“远”,运输“原料”数据的速度就比较慢,导致 间,建了一个“小仓库”—内存。 四.实验心得及体会收获 通过学习计算机组装,我了解了计算机方面的一些基础知识,也了解到了CPU,主板,内存等配件的基本结构,原来在计算机方面不是很懂得的我,开始渐渐的深入了解它,相信我今后能更好的使用它。 “纸上得来终觉浅,绝知此事要躬行。”这次计算机组装实验,让我真正将理论和实践融为一体,既巩固了旧知识,又掌握了新知识,在提高了我的动手能力

北航电涡流传感器实验报告

电涡流传感器实验报告 38030414蔡达 一、实验目的 1.了解电涡流传感器原理; 2.了解不同被测材料对电涡流传感器的影响。 二、实验仪器 电涡流传感器实验模块,示波器:DS5062CE,微机电源:WD990型,士12V,万用表:VC9804A型,电源连接电缆,螺旋测微仪 三、实验原理 电涡流传感器由平面线圈和金属涡流片组成,当线圈中通以高频交变电流后,在与其平行的金属片上会感应产生电涡流,电涡流的大小影响线圈的阻抗Z,而涡流的大小与金属涡流片的电阻率、导磁率、厚度、温度以及与线圈的距离X有关,当平面线圈、被测体(涡流片)、激励源确定,并保持环境温度不变,阻抗Z只与距离X有关,将阻抗变化转为电压信号V输出,则输出电压是距离X的单值函数。

四. 实验数据及处理 1.铁片 0.5 1 1.52 2.5 3 3.5 电涡流传感器电压位移曲线—铁片 电压/V 位移/mm

0.5 1 1.5 2 2.53 3.5 电涡流传感器电压位移拟合曲线—铁片 电压/V 位移/mm 其线性工作区为0.6——3.4,对该段利用polyfit 进行函数拟合,可得V=-1.0488X-1.2465 2.铜片

电涡流传感器电压位移曲线—铜片 电压/V 位移/mm 2.2 2.4 2.6 2.83 3.2 3.4 3.6 -6-5.95-5.9-5.85 -5.8-5.75-5.7 -5.65-5.6-5.55-5.5电涡流传感器电压位移拟合曲线—铜片 电压/V 位移/mm 其线性工作区为2.4——3.4,对该段利用polyfit 进行函数拟合,可得V= -0.4500X -4.4667

北京理工大学微机原理实验报告

微机原理与接口技术 实验报告 实验内容:汇编语言程序设计实验 组别:12 姓名: 班级: 学号:

一、实验目的 1、熟悉IDE86集成开发环境的使用。 2、通过编程、上机调试,进一步理解汇编语言的设计思路与执行过程。 3、熟悉DOS命令调用,以达到输入输出、返回DOS系统等目的。 4、掌握利用汇编实现求和与求最值的方法。 5、掌握利用汇编实现数制转换的方法。 6、巩固理论知识,锻炼动手编程,独立思考的能力。 二、实验内容(具体内容) 1、求从TABLE开始的10个无符号字节数的和,并将结果放在SUM字单元中。并查看前5个,前8 个数之和以及各寄存器和内存的状态。 2、在1的基础上修改程序,求出10个数中的最大值和最小值,最后将最大最小值分别赋给MAX及 MIN。 3、求1到 100 的累加和,并用十进制形式将结果显示在屏幕上。要求实现数据显示,并返回DOS 状态。 三、实验方法 1、设计思路 (1)实验1的设计思路:先将10个要相加的数存在以TABLE为首的10个连续的存储单元中,然后利用循环结构依次取出数值放在AL中并累加,若有进位则加到AH中直至循环10次累加结束,将累加的结果放在SUM中并返回DOS状态。 (2)实验2的设计思路:先将10个要比较的数放在以TABLE为首的10个连续的存储单元中。 将第一个数首先赋给AL和AH(分别存储相对最小和最大值)在利用LOOP循环结构,依次和下面的数进行比较,每次把相对的最大值与最小值存储到AH和AL中直至循环9次比较结束,将AH和AL里面的最大值与最小值赋给MAX和MIN,返回DOS状态 (3)实验3的设计思路:先在内存中定义COUNT=100,表示1-100求和,若相求1-n的和并显示只需COUNT的值为n即可,同时定义一块以DNUM为首地址的数据区用于存储累加和的十进制数对应的ASCII码。先利用AX和LOOP求出1-COUNT的累加和存在AX中;在进行数值转化,AX 依次除10取余数保存,将16进制数转化为10进制ASCII码值并存在DUNM中。最后在屏幕上显示并返回DOS状态。 2程序流程图 实验一、二和三的流程图分别如图1、图2和图3所示

北航verilog实验报告(全)

目录 实验一 (2) 实验二 (8) 实验三 (14) 实验四 (27)

实验一 实验目的:熟悉硬件开发流程,掌握Modelsim设计与仿真环境,学会简单组合逻辑电路、简单时序逻辑电路设计,不要求掌握综合和综合后仿真。 实验内容:必做实验:练习一、简单的组合逻辑设计 练习二、简单分频时序逻辑电路的设计 选做实验:选做一、练习一的练习题 选做二、7段数码管译码电路 练习一、简单的组合逻辑设计 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验代码: 模块源代码: module compare(equal,a,b); input a,b; output equal; assign equal=(a==b)?1:0; endmodule 测试模块源代码: `timescale 1ns/1ns `include "./compare.v" module t; reg a,b; wire equal; initial begin a=0; b=0; #100 a=0;b=1; #100 a=1;b=1; #100 a=1;b=0; #100 a=0;b=0; #100 $stop; end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验波形

练习二、简单分频时序逻辑电路的设计 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验代码: 模块源代码: module halfclk(reset,clkin,clkout); input clkin,reset; output clkout; reg clkout; always@(posedge clkin) begin if(!reset) clkout=0; else clkout=~clkout; end endmodule 测试模块源代码: `timescale 1ns/100ps `define clkcycle 50 module tt; reg clkin,reset; wire clkout; always#`clkcycle clkin=~clkin; initial begin clkin=0; reset=1; #10 reset=0; #110 reset=1; #100000 $stop; end halfclk m0(.reset(reset),.clkin(clkin),.clkout(clkout)); endmodule

微机原理实验报告

微机原理实验报告 计算机网络的设计是一个要求动手能力很强的一门实践课程,在课程设计期间我努力将自己以前所学的理论知识向实践方面转化,尽量做到理论与实践相结合,在课程设计期间能够遵守纪律规章,不迟到、早退,认真完成老师布置的任务,同时也发现了自己的许多不足之处。 在课程设计过程中,我一共完成了11个实验,分别是1.制作直通电缆和交叉UTP、2.交换机Console口和Telnet配置、3.交换机端口和常规配置、4.虚拟局域网VLAN配置、5.路由器Console口Telnet 配置方法和接口配置、6.路由器静态路由配置、7单臂路由配置、8.动态路由协议配置、9.PPP协议配置、10路由器访问控制表(ACL)、11.网络地址转换(NAT)。 在制作直通电缆和交换UTP的实验中,我起初不能完全按照要求来剪切电缆,导致连接不通,后来在同学的帮助下,终于将实验完成。 在做到单臂路由配置和动态路由协议配置的实验,由于自身的基础知识掌握不牢,忘掉了一些理论知识,在重新翻阅课本和老师的指导之下,也成功的完成了试验。 从抽象的理论回到了丰富的实践创造,细致的了解了计算机网络连接的的全过程,认真学习了各种配置方法,并掌握了利用虚拟环境配置

的方法,我利用此次难得的机会,努力完成实验,严格要求自己,认真学习计算机网络的基础理论,学习网络电缆的制作等知识,利用空余时间认真学习一些课本内容以外的相关知识,掌握了一些基本的实践技能。 课程设计是培养我们综合运用所学知识,发现、提出、分析、解决问题的一个过程,是对我们所学知识及综合能力的一次考察。随着科学技术日新月异的不断发展,计算机网络也在不断的变化发展当中,这就要求我们用相应的知识来武装自己,夯实基础,为将来走向工作岗位,贡献社会做好充分的准备。

北航惯性导航综合实验一实验报告

实 验一 陀螺仪关键参数测试与分析实验 加速度计关键参数测试与分析实验 二零一三年五月十二日 实验一陀螺仪关键参数测试与分析实验 一、实验目得 通过在速率转台上得测试实验,增强动手能力与对惯性测试设备得感性认识;通过对陀螺仪测试数据得分析,对陀螺漂移等参数得物理意义有清晰得认识,同时为在实际工程中应用陀螺仪与对陀螺仪进行误差建模与补偿奠定基础。 二、实验内容 利用单轴速率转台,进行陀螺仪标度因数测试、零偏测试、零偏重复性测试、零漂测试实验与陀螺仪标度因数与零偏建模、误差补偿实验。 三、实验系统组成 单轴速率转台、MEMS 陀螺仪(或光纤陀螺仪)、稳压电源、数据采集系统与分析系统。

四、实验原理 1.陀螺仪原理 陀螺仪就是角速率传感器,用来测量载体相对惯性空间得角速度,通常输出与角速率对应得电压信号。也有得陀螺输出频率信号(如激光陀螺)与数字信号(把模拟电压数字化)。以电压表示得陀螺输出信号可表示为: (1-1)式中就是与比力有关得陀螺输出误差项,反映了陀螺输出受比力得影响,本实验不考虑此项误差。因此,式(1-1)简化为 (1-2)由(1-2)式得陀螺输出值所对应得角速度测量值: (1-3) 对于数字输出得陀螺仪,传感器内部已经利用标度因数对陀螺仪模拟输出进行了量化,直接输出角速度值,即: (1-4)就是就是陀螺仪得零偏,物理意义就是输入角速度为零时,陀螺仪输出值所对应得角速度。且 (1-5) 精度受陀螺仪标度因数、随机漂移、陀螺输出信号得检测精度与得影响。通常与表现为有规律性,可通过建模与补偿方法消除,表现为随机特性,可通过信号滤波方法抵制。因此,准确标定与就是实现角速度准确测量得基础。 五、陀螺仪测试实验步骤 1)标度因数与零偏测试实验 a、接通电源,预热一定时间; b、陀螺工作稳定后,测量静止情况下陀螺输出并保存数据;

微机原理实验报告记录

微机原理实验报告记录

————————————————————————————————作者:————————————————————————————————日期:

微机原理实验报告 班级:自动化72 组员梁慕佳 07054031 张乐 07054033 张林鹏 07054034

实验一:8255 并行接口实验 1 实验目的 1. 学习并掌握8255 的工作方式及其应用; 2. 掌握8255 典型应用电路的接法。 2 实验设备 PC机一台,TD-PITE 实验装置一套。 3 实验内容 1. 基本输入输出实验。编写程序,使8255 的A口为输入,B口为输出,完成拨动开关到数据灯的数据传输。要求只要开关拨动,数据灯的显示就发生相应改变。 2. 流水灯显示实验。编写程序,使8255 的A口和B口均为输出,数据灯D7~D0由左向右,每次仅亮一个灯,循环显示,D15~D8与D7~D0 正相反,由右向左,每次仅点亮一个灯,循环显示。 4 实验原理 并行接口是以数据的字节为单位与I/O 设备或被控制对象之间传递信息。CPU和接口之间的数据传送总是并行的,即可以同时传递8 位、16 位或32 位等。8255可编程外围接口芯片是Intel公司生产的通用并行I/O 接口芯片,它具有A、B、C 三个并行接口,用+5V单电源供电,能在以下三种方式下工作:方式0--基本输入/输出方式、方式1--选通输入/输出方式、方式2--双向选通工作方式。8255的内部结构及引脚如图2-6-1 所示,8255工作方式控制字和C口按位置位/复位控制字格式如图2-6-2所示。 图2-6-1 8255内部结构及外部引脚图

相关文档