文档库 最新最全的文档下载
当前位置:文档库 › 理工学院毕业设计(论文)模板

理工学院毕业设计(论文)模板

理工学院毕业设计(论文)模板
理工学院毕业设计(论文)模板

基于FPGA的简单运算器

摘要

本设计是一个基于FPGA的简单运算器。在装有Quartus II仿真软件的电脑上主要用VHDL语言编写进行设计,此运算器由按键输入模块、控制信号产生模块、运算模块、修正运算结果和显示模块五部分组成。能够进行简单的加、减、与和异或。该运算器用Quartus II仿真软件设计并测试功能正常,下载到试验箱验证成功。

关键词:简单运算器,按键输入,运算,Quartus II.

FPGA based on a simple calculator

Abstract

The design is based on a simple FPGA calculator. In a Quartus II simulation software on the computer is mainly used VHDL language design, the computing device is composed of a key input module, a control signal generating module, operation module, correction calculation results and display module consists of five parts. To carry out simple plus, minus, and and xor. The computing device using Quartus II simulation software to design and test the function of the normal, downloaded to the test proved to be successful.

Key words: simple arithmetic unit, key input, operation, Quartus II.

目录

前言 (4)

第1章系统原理 (5)

1.1设计原理 (5)

1.1.1系统设计原理 (5)

1.1.2顶层电路图................ 错误!未定义书签。第2章系统工作过程.. (7)

2.2 按键输入模块 (11)

2.1.1按键模块编码 (10)

2.1.2仿真分析 (10)

2.2 控制信号产生模块 (11)

2.2.1 控制信号产生编码 (11)

2.2.2 仿真分析 (13)

2.3 运算器模块 (14)

2.3.1 运算器电路图 (14)

2.4 修正结果模块 (15)

2.4.1 修正结果编码 (15)

2.4.2 仿真分析 (18)

2.5 显示模块 (11)

2.5.1 显示编码 (15)

2.6 定制选择器. (11)

2.7 制作VHDL符号图 (11)

第3章下载验证 (38)

3.1 引脚锁定设置 (32)

3.1.1 器件选择 (33)

3.1.2 引脚分配 (34)

3.2 配置文件下载 (30)

3.3 硬件测试 (30)

结论................................................................. 错误!未定义书签。谢辞 (37)

参考文献 ........................................................... 错误!未定义书签。附录 ............................................................... 错误!未定义书签。

前言

我的运算器主要是用VHDL语言编写,以Cyclone器件为依托,并下载到Altera仿真工具箱CycloneII-EP2C5T144C8验证功能成功。本次课程设计报告主要目的是以设计简单运算器为依托熟练掌握数字逻辑、VHDL 和Quartus II的基本知识,为以后深入学习打下坚实的基础。

目前以硬件描述语言(Verilog 或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA 里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。我们可以根据需要通过可编辑的连接把FPGA 内部的逻辑块连接起来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品FPGA的逻辑块和连接可以按照设计者而改变,所以FPGA 可以完成所需要的逻辑功能。

FPGA芯片操作灵活,可以重复擦写无限次,而微处理器均采用固定电路,只能进行一次性设计。设计人员可通过改变FPGA中晶体管的开关状态对电路进行重写,即重配置,从而尽管FPGA芯片的时钟频率要低于奔腾处理器,但是由于FPGA芯片可并行处理各种不同的运算,所以可以

完成许多复杂的任务。FPGA一般来说比ASIC

无法完成复杂的设计,而且消耗更多的电能。

第一章系统原理1.1设计原理

1.1系统设计原理

1.2 顶层电路图

图1-1顶层电路图

第2章系统工作过程

2.1 按键输入模块

2.1.1按键模块编码

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY KEY IS

PORT( EN:OUT STD_LOGIC;

YSF:OUT STD_LOGIC_VECTOR(1 DOWNTO 0);

RSTN,CLK:IN STD_LOGIC;

KEYA,KEYB:IN STD_LOGIC;

KADD,KSUB,KAND,KXOR,KEQU:IN STD_LOGIC;

A,B,C,D:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

XSYSF:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

XA,XB:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END KEY;

ARCHITECTURE ARCH OF KEY IS

SIGNAL TKADD,TKSUB,TKAND,TKXOR,TKEQU:STD_LOGIC;

SIGNAL TA,TB:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS(KEYA,RSTN)

BEGIN

IF RSTN='0'THEN TA <="0000";

ELSIF(KEYA'EVENT AND KEYA='1')THEN

TA<=TA+1;

END IF;

END PROCESS;

PROCESS(KEYB,RSTN)

BEGIN

IF RSTN='0'THEN TB <="0000";

ELSIF(KEYB'EVENT AND KEYB='1')THEN

TB<=TB+1;

END IF;

END PROCESS;

PROCESS(RSTN,KADD)

BEGIN

IF RSTN='0'THEN TKADD<='0';

ELSIF(KADD'EVENT AND KADD='1')THEN

TKADD<='1';

END IF;

END PROCESS;

PROCESS(RSTN,KSUB)

BEGIN

IF RSTN='0'THEN TKSUB <='0';

ELSIF(KSUB'EVENT AND KSUB='1')THEN

TKSUB<='1';

END IF;

END PROCESS;

PROCESS(RSTN,KAND)

BEGIN

IF RSTN='0'THEN TKAND <='0';

ELSIF(KAND'EVENT AND KAND='1')THEN

TKAND<='1';

END IF;

END PROCESS;

PROCESS(RSTN,KXOR)

BEGIN

IF RSTN='0'THEN TKXOR <='0';

ELSIF(KXOR'EVENT AND KXOR='1')THEN

TKXOR<='1';

END IF;

END PROCESS;

PROCESS(RSTN,KEQU)

BEGIN

IF RSTN='0'THEN TKEQU<='0';

ELSIF(KEQU'EVENT AND KEQU='1')THEN

TKEQU<='1';

END IF;

END PROCESS;

PROCESS(RSTN,CLK,TKADD,TKSUB,TKAND,TKXOR,TKEQU,TA, TB)

BEGIN

IF RSTN='0'THEN YSF<="00";EN<='0';XSYSF<="00100000";

ELSIF(CLK'EVENT AND CLK='1')THEN

IF TKADD='1'THEN YSF<="00";XSYSF<="00101011";A<=TA;B<=TB;END IF;

IF TKSUB='1'THEN YSF<="01";XSYSF<="00101101";A<=TA;B<=TB;END IF;

IF TKAND='1'THEN YSF<="10";XSYSF<="00101010";A<=TA;C<=TB;END IF;

IF TKXOR='1'THEN YSF<="11";XSYSF<="00101111";A<=TA;D<=TB;END IF;

IF TKEQU='1'THEN EN<='1';END IF;

END IF;

END PROCESS;

PROCESS(TA,TB,CLK)

BEGIN

IF(CLK'EVENT AND CLK='1')THEN

CASE TA IS

WHEN"0000"=>XA<="00110000";

WHEN"0001"=>XA<="00110001";

WHEN"0010"=>XA<="00110010";

WHEN"0011"=>XA<="00110011";

WHEN"0100"=>XA<="00110100";

WHEN"0101"=>XA<="00110101";

WHEN"0110"=>XA<="00110110";

WHEN"0111"=>XA<="00110111";

WHEN"1000"=>XA<="00111000";

WHEN"1001"=>XA<="00111001";

WHEN"1010"=>XA<="01000001";

WHEN"1011"=>XA<="01000010";

WHEN"1100"=>XA<="01000011";

WHEN"1101"=>XA<="01000100";

WHEN"1110"=>XA<="01000101";

WHEN"1111"=>XA<="01000110";

WHEN OTHERS=>NULL;

END CASE;

CASE TB IS

WHEN"0000"=>XB<="00110000";

WHEN"0001"=>XB<="00110001";

WHEN"0010"=>XB<="00110010";

WHEN"0011"=>XB<="00110011";

WHEN"0100"=>XB<="00110100";

WHEN"0101"=>XB<="00110101";

WHEN"0110"=>XB<="00110110";

WHEN"0111"=>XB<="00110111";

WHEN"1000"=>XB<="00111000";

WHEN"1001"=>XB<="00111001";

WHEN"1010"=>XB<="01000001";

WHEN"1011"=>XB<="01000010";

WHEN"1100"=>XB<="01000011";

WHEN"1101"=>XB<="01000100";

WHEN"1110"=>XB<="01000101";

WHEN"1111"=>XB<="01000110";

WHEN OTHERS=>NULL;

END CASE;

END IF;

END PROCESS;

END ARCH;

2.1.2 仿真分析

图2-1按键输入仿真波形

2.2 控制信号产生模块

2.2.1 信号产生编码

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CP3 IS

PORT( RSTN,CLK:IN STD_LOGIC;

CP,CPT,CPMUX:BUFFER STD_LOGIC;

SADD,SSUB,SAND,SXOR:OUT STD_LOGIC_VECTOR(1 DOWNTO 0));

END CP3;

ARCHITECTURE BEHAVIORAL OF CP3 IS

TYPE STATE1 IS(S0,S1,S2,S3,S4,S5,S6,S7,S8,S9,S10,S11);

SIGNAL PRES1,NXS1:STATE1;

TYPE STATE2 IS(SS0,SS1,SS2,SS3);

SIGNAL PRES2,NXS2:STATE2;

BEGIN

PROCESS(CLK,RSTN)

BEGIN

IF(RSTN='0')THEN PRES1<=S0;

ELSIF(CLK'EVENT AND CLK='1')THEN

PRES1<=NXS1;

END IF;

END PROCESS;

PROCESS(PRES1)

BEGIN

CASE PRES1 IS

WHEN S0=>

CP<='1';CPMUX<='0';CPT<='0';NXS1<=S1;

WHEN S1=>

CP<='0';CPMUX<='0';CPT<='0';NXS1<=S2;

WHEN S2=>

CP<='0';CPMUX<='1';CPT<='0';NXS1<=S3;

WHEN S3=>

CP<='1';CPMUX<='1';CPT<='0';NXS1<=S4;

WHEN S4=>

CP<='1';CPMUX<='0';CPT<='0';NXS1<=S5;

WHEN S5=>

CP<='0';CPMUX<='0';CPT<='0';NXS1<=S6;

WHEN S6=>

CP<='0';CPMUX<='1';CPT<='0';NXS1<=S7;

WHEN S7=>

CP<='1';CPMUX<='1';CPT<='0';NXS1<=S8;

WHEN S8=>

CP<='1';CPMUX<='1';CPT<='0';NXS1<=S9;

WHEN S9=>

CP<='0';CPMUX<='1';CPT<='1';NXS1<=S10;

WHEN S10=>

CP<='0';CPMUX<='1';CPT<='1';NXS1<=S11;

WHEN S11=>

CP<='1';CPMUX<='1';CPT<='1';NXS1<=S11;

END CASE;

END PROCESS;

PROCESS(CP,RSTN)

BEGIN

IF(RSTN='0')THEN PRES2<=SS0;

ELSIF(CP'EVENT AND CP='0')THEN

PRES2<=NXS2;

END IF;

END PROCESS;

PROCESS(PRES2)

BEGIN

CASE PRES2 IS

WHEN SS0=>

SADD<="00";SSUB<="00";SAND<="00";SXOR<="00";

NXS2<=SS1;

WHEN SS1=>

SADD<="01";SSUB<="00";SAND<="00";SXOR<="00";

NXS2<=SS2;

WHEN SS2=>

SADD<="00";SSUB<="01";SAND<="10";SXOR<="11";

NXS2<=SS3;

WHEN SS3=>

SADD<="00";SSUB<="01";SAND<="10";SXOR<="11";

NXS2<=SS3;

END CASE;

END PROCESS;

END BEHAVIORAL;

2.2.2 仿真分析

图2-2控制信号仿真波形图

2.3 运算器模块

2.3.1 运算器电路图

图2-3运算器电路图

2.4 修正结果模块

2.4.1 修正结果编码

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY XZJG IS

PORT(RSTN:IN STD_LOGIC;

S:IN STD_LOGIC_VECTOR(1 DOWNTO 0);

CLK,OVER,COUT: IN STD_LOGIC;

T: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

FH: OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

SH,SL: OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END XZJG;

ARCHITECTURE BEHAVIORAL OF XZJG IS

SIGNAL OC:STD_LOGIC_VECTOR(1 DOWNTO 0);

SIGNAL TH,TL:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS(RSTN,CLK,OVER,COUT)

BEGIN

IF(CLK'EVENT AND CLK='1')THEN

OC<=OVER&COUT;

END IF;

END PROCESS;

PROCESS(OC,CLK)

BEGIN

IF(RSTN='0')THEN

TH<="0000";TL<="0000";

ELSIF(CLK'EVENT AND CLK='1')THEN

CASE OC IS

WHEN"00"=> TH<="0000";

CASE T IS

WHEN"0000"=>TL <="0000";FH<="00101011";

WHEN"0001"=>TL <="0001";FH<="00101011";

WHEN"0010"=>TL <="0010";FH<="00101011";

WHEN"0011"=>TL <="0011";FH<="00101011";

WHEN"0100"=>TL <="0100";FH<="00101011";

WHEN"0101"=>TL <="0101";FH<="00101011";

WHEN"0110"=>TL <="0110";FH<="00101011";

WHEN"0111"=>TL <="0111";FH<="00101011";

WHEN"1000"=>TL <="1000";FH<="00101101";

WHEN"1001"=>TL <="0111";FH<="00101101";

WHEN"1010"=>TL <="0110";FH<="00101101";

WHEN"1011"=>TL <="0101";FH<="00101101";

WHEN"1100"=>TL <="0100";FH<="00101101";

WHEN"1101"=>TL <="0011";FH<="00101101";

WHEN"1110"=>TL <="0010";FH<="00101101";

WHEN"1111"=>TL <="0001";FH<="00101101";

WHEN OTHERS=>NULL;

END CASE;

WHEN"01"=> TH<="0000";

CASE T IS

WHEN"0000"=>TL <="0000";FH<="00101011";

WHEN"0001"=>TL <="0001";FH<="00101011";

WHEN"0010"=>TL <="0010";FH<="00101011";

WHEN"0011"=>TL <="0011";FH<="00101011";

WHEN"0100"=>TL <="0100";FH<="00101011";

WHEN"0101"=>TL <="0101";FH<="00101011";

WHEN"0110"=>TL <="0110";FH<="00101011";

WHEN"0111"=>TL <="0111";FH<="00101011";

WHEN"1000"=>TL <="1000";FH<="00101101";

WHEN"1001"=>TL <="0111";FH<="00101101";

WHEN"1010"=>TL <="0110";FH<="00101101";

WHEN"1011"=>TL <="0101";FH<="00101101";

WHEN"1100"=>TL <="0100";FH<="00101101";

WHEN"1101"=>TL <="0011";FH<="00101101";

WHEN"1110"=>TL <="0010";FH<="00101101";

WHEN"1111"=>TL <="0001";FH<="00101101";

WHEN OTHERS=>NULL;

END CASE;

WHEN"10"=> FH<="00101011";

CASE T IS

WHEN"1000"=>TH<="0000";TL <="1000";

WHEN"1001"=>TH<="0000";TL <="1001";

WHEN"1010"=>TH<="0001";TL <="0000";

WHEN"1011"=>TH<="0001";TL <="0001";

WHEN"1100"=>TH<="0001";TL <="0010";

WHEN"1101"=>TH<="0001";TL <="0011";

WHEN"1110"=>TH<="0001";TL <="0100";

WHEN OTHERS=>NULL;

END CASE;

WHEN"11"=> FH<="00101101";

CASE T IS

WHEN"0000"=>TH<="0001";TL <="0110";

WHEN"0001"=>TH<="0001";TL <="0101";

WHEN"0010"=>TH<="0001";TL <="0100";

WHEN"0011"=>TH<="0001";TL <="0011";

WHEN"0100"=>TH<="0001";TL <="0010";

本科毕业设计(论文)模板

本 科 毕 业 设 计(论文) 题 ——副标题 学生姓名:张 三 学 号:10080000 专业班级:计算机科学与技术10-5班 指导教师:李 四 20 年 6月20日

——副标题 要 数据结构算法设计和演示(C++)树和查找是在面向对象思想和技术的指导下,采用面向对象的编程语言(C++)和面向对象的编程工具(Borland C++ Builder 6.0)开发出来的小型应用程序。它的功能主要是将数据结构中链表、栈、队列、树、查找、图和排序部分的典型算法和数据结构用面向对象的方法封装成类,并通过类的对外接口和对象之间的消息传递来实现这些算法,同时利用解、辅助教学和自我学习的作用。 关键词

The design and implementation of the linear form ——副标题 Abstract 外文摘要要求用英文书写,内容应与“中文摘要”对应。使用第三人称。 “Abstract” 字体:Times New Roman,居中,三号,加粗,1.5倍行距,段前、段后0.5行间距,勾选网格对齐选项。 “Abstract”上方是论文的英文题目,字体:Times New Roman,居中,小二,加粗,1.5倍行距,间距:段前、段后0.5行间距,勾选网格对齐选项。 Abstract正文选用设置成每段落首行缩进2字符,字体:Times New Roman,字号:小四,1.5倍行距,间距:间距:段前后0.5行间距,勾选网格对齐选项。 Keywords与Abstract之间空一行,首行缩进2字符。Keywords与中文“关键词”一致,加粗。词间用分号间隔,末尾不加标点,3-5个,Times New Roman,小四。如需换行,则新行与第一个关键词首字母对齐。 Keywords:Write Criterion;Typeset Format;Graduation Project (Thesis)

厦门大学毕业设计(论文)

厦门大学 级毕业设计(论文) (页面设置:论文版心大小为155mm×245mm,页边距:上2.6cm,下2.6cm,左2.5cm,右2cm,行间距20磅,装订线位置左,装订线1cm,) 此处为论文题目,黑体2号字 2~3~qq4~9~5~2~6~3~5 / 2`6248qq0221 以下各项居中列,黑体小四号) 年级: 159dian 2717hua 1195 学号: 姓名: 专业: 指导老师: (填写时间要用中文) 年月

院系专业 年级姓名 题目 指导教师 评语 指导教师 (签章) 评阅人 评语 评阅人 (签章) 成绩 答辩委员会主任 (签章) 年月日 毕业设计任务书 班级学生姓名学号专业 发题日期:年月日完成日期:年月日 题目

题目类型:工程设计技术专题研究理论研究软硬件产品开发 一、设计任务及要求 二、应完成的硬件或软件实验 三、应交出的设计文件及实物(包括设计论文、程序清单或磁盘、实验装置或产品 等) 四、指导教师提供的设计资料 五、要求学生搜集的技术资料(指出搜集资料的技术领域) 六、设计进度安排 第一部分(4 周)第二部分(6 周)第三部分(2 周)

评阅及答辩(1 周) 指导教师:年月日系主任审查意见: 审批人:年月日 注:设计任务书审查合格后,发到学生手上。 ××××大学××××××××学院20XX年制

摘要正文略 关键词:关键词;关键词;关键词;关键词(关键词之间分号隔开,并加一个空格)

Abstract 正文略 Keywords: keyword; keyword; keyword; keyword

本科毕业论文参考模板

聊城大学东昌学院 本科生毕业论文(设计) 题目:影响我国房价的因素分析 专业代码:020101 作者姓名:崔帅 学号:20080020732 单位:经法系08级经济学1 班

指导教师:沈红梅 年月日

文件四 本科毕业论文(设计)参考模板 说明:①毕业论文(设计)原则上采用计算机打印。使用A4纸张,边距为:上下各为2.5cm,左右各为2.8cm,装订线0.5cm(居左),页眉、页脚各 为1.5cm。页眉内容:“聊城大学本科毕业论文(设计)”,黑体,5号, 居中。 ②全文1.5倍行间距。 目录(标题用黑体3号,加粗,居中) 前言(宋体4号字,加粗) - - - - - - - - - - - - - - - - - 1 1.正文一级标题(宋体4号字,加粗)- - - - -- - - - - - - - - - - -- - -- 1 1.1 正文二级标题(宋体小4号字)-- - -- - - - - - - --- - - - - - -- - - - - - - 3 1.1.1正文三级标题(宋体小4号字)- -- -- - -- - - - - - - -- - - - - - 5 1.1.2正文三级标题(宋体小4号字)- - - - -- - - - - - - -- - - ---- - 7 2. 正文一级标题(宋体4号字,加粗)-- --- - - - - - - - - - - -- -- - -20 2.1正文二级标题(宋体小4号字)- - - - -- - - - -- - - - - ---- - -- - - - -20 2.2 正文二级标题(宋体小4号字)- - - - - - -- - - - - - - - - - - - - - - - 23 …… 结论(宋体4号字,加粗)-- - -- - - - - - - - - - - - - - - - - -- - - - --- -- - 50 注释(宋体4号字,加粗,采用尾注者设此节,采用脚注者不设此节)-- -- - - --- -- - 51 参考文献(宋体4号字,加粗)- - - - - - - - - -- - - - - - - - - - - - - - - - - 52

河南科技大学毕业设计论文模板

【从这里键入摘要内容。字体和格式均不需要修改。页面格式已经设置完毕(小四号宋体,每段开头空二格)。】扼要概括论文主要设计了什么内容,如何设计的,设计效果如何。语言精练、明确,语句流畅;英文摘要须与中文摘要内容相对应;中文摘要约400-500个汉字,英文摘要约300-450个实词;关键词要反映毕业设计说明书(论文)的主要内容,数量一般为4-6个。 设计类论文的摘要:应有类似的文字:(1)本设计的依据和意义的简要描述 (2)采用什么方法(面向对象的方法或软件工程的方法)进行需求分析、总体设计,详细设计、实现了哪些重要的功能。(3)(如果有这部分内容的话)设计过程中对什么问题进行了研究,提出了什么新的思路或者方法(4)系统设计或者研究达到了什么目标。 研究类论文的摘要: (1)本课题的依据和意义的简要描述(2)对哪些算法或者方法进行了哪些研究(3)提出了什么新的思路或者方法,或者对什么方法提出了改进思想(4)经过什么测试验证,证明了新的方法的可行性,或4)研究达到了什么目标。 4,关键词5,关键词6 页眉设置:河南科技大学本科毕业设计论文 页码设置:前言之前部分用Ⅰ,Ⅱ,Ⅲ,…编号 从前言开始用阿拉伯数字1,2,3…编号,前言为第1页

【从这里键入英文摘要内容, 使用Times New Roman 字体,小四号小写,每段开头留四个字符空格】 WORDS :5,关键6

言 章一级标题一 (2) 第1章二级标题一 (2) 第1章二级标题二 (3) §1.2.1 第1章三级标题一 (3) §1.2.2 第1章三级标题二 (3) 章一级标题二 (5) 第2章二级标题一 (5) §2.1.1 第2章三级标题一 (5) §2.1.2 第2章三级标题二 (5) §2.1.3 第2章三级标题三 (6) 第2章二级标题二 (6) 章一级标题三 (7) 第3章二级标题一 (7) §3.1.1 第3章三级标题一 (7) §3.1.2 第3章三级标题二 (7) 第3章二级标题二 (7) 第4章一级标题四 (8) §4.1 第4章二级标题一 (8) §4.1.1 第4章三级标题一 (8) §4.1.2 第4章三级标题二 (8) §4.2 第4章二级标题二 (8) 第5章一级标题五 (9) §5.1 第5章二级标题一 (9) §5.2 第5章二级标题二 (9) §5.3 第5章二级标题三 (9) §5.3.1 第5章三级标题一 (9)

重庆大学本科毕业设计(论文)

重庆大学本科学生毕业设计(论文) 浅析环境心理学在现代商业展示设计中的运用 学生:崔海侠 学号:20070309 指导教师:杨定强 专业:艺术设计(视觉传达方向) 重庆大学艺术学院 二O一一年六月

Graduation Design(Thesis) of Chongqing University Analyses the Environmental Psychology Application of Modern Commercial Display Design Undergraduate: Cui Haixia Supervisor:Yang Dingqiang Major:ArtDesign(visualcommunication direction) College of Arts Chongqing University June 2011

摘要 随着展示设计学科的不断完善,环境心理学在展示设计中的重要作用日益显现,并且成为现代展示设计人性化设计的指导理论之一。是为了了解人在不同展示环境下的心理和行为,科学而艺术地把握展示环境设计中各要素关系,创造符合人们心理需要的展示空间环境。环境心理学在展示设计中的应用,与以往相比,更加强调“以人为本”的设计理念,强调以人的感受作为设计的终极目标。我们研究人在环境中的行为、心理及它们之间的关系和相互作用的目的就在于:了解生活中人们的行为、心理倾向,从而使我们对人环境的关系、对怎样创造展示空间环境,都应具新的更为深刻的认识,使其及时地反馈到我们展示空间的设计中去,合理的组织空间,设计好界面、颜色和光照,创造出功能合理、舒适优美、满足人们物质和精神生活需要的展示环境。 关键词:环境心理学展示设计

苏州大学本科生毕业设计论文模板

本科生毕业论文 论文题目[单击开始输入论文题目] 姓名[此处输入姓名] 指导教师姓名[此处输入指导教师姓名] 学院名称[此处输入学院名称] 年级/专业20 级 论文提交日期20 年月

使用说明:目录内容不需要更改,将鼠标置于目录内容上点击鼠标右键,从中选择“更新域 更新整个目录”即可 目录 中文摘要 (1) Abstract (2) 前言 (3) 1文献综述 (3) 1.1概念界定 (3) 1.1.1XXX1 (3) 1.1.2XXX2 (3) 1.2[此处输入二级标题] 如国内外研究现状 (4) 1.2.1[此处输入三级标题] (4) 1.2.2[此处输入三级标题] (4) 1.3[此处输入二级标题] 如问题提出 (4) 1.3.1[此处输入三级标题] 如研究意义 (4) 1.3.2[此处输入三级标题] (4) 2[此处输入一级标题] (5) 2.1[此处输入二级标题] (5) 2.1.1[此处输入三级标题] (5) 2.1.2[此处输入三级标题] (5) 2.2[此处输入二级标题] (5) 2.2.1[此处输入三级标题] (5) 2.2.2[此处输入三级标题] (5) 3[此处输入一级标题] (6) 3.1[此处输入二级标题] (6) 3.1.1[此处输入三级标题] (6) 3.1.2[此处输入三级标题] (6) 3.2[此处输入二级标题] (6) 3.2.1[此处输入三级标题] (6) 3.2.2[此处输入三级标题] (6) 4讨论 (7)

4.1[此处输入二级标题] (7) 4.1.1[此处输入三级标题] (7) 4.1.2[此处输入三级标题] (7) 4.2[此处输入二级标题] (7) 4.2.1[此处输入三级标题] (7) 4.2.2[此处输入三级标题] (7) 5研究创新、不足与展望 (9) 结论 (10) 参考文献(一定注意参考文献格式和文献类型标识符,包括标点符号) 11致谢 (12) 附录 (13)

(整理)成都大学毕业设计(论文)撰写规范

毕业设计(论文)撰写规范 学士学位论文(设计说明书)是学生在教师的指导下经过调查研究、科学实验或工程设计,对所取得成果的科学表述,是学生毕业及学位资格认定的重要依据。其撰写在参照国家、各专业部门制定的有关标准及语法规范的同时,应遵照如下规范: 1.论文结构及写作要求 论文(设计说明书)应包括题目、中文摘要与关键词、英文题目、英文摘要与关键词、目录、正文、致谢、参考文献和附录等部分。 1.1 题目 题目应该简短、明确、有概括性。论文题目一般中文题目不超过25个字,外文题目不超过15个实词,不使用标点符号,中外文题名应一致。标题中尽量不用英文缩写词,必须采用时,应使用本行业通用缩写词。 1.2 摘要与关键词 1.2.1 摘要 摘要是对论文(设计说明书)内容不加注释和评论的简短陈述,要求扼要说明研究工作的目的、主要材料和方法、研究结果、结论、科学意义或应用价值等,是一篇具有独立性和完整性的短文。摘要中不宜使用公式、图表以及非公知公用的符号和术语,不标注引用文献编号。中文摘要一般为300字左右,外文摘要为250个实词左右,外文摘要应与中文摘要内容一致。 1.2.2 关键词

关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准),一般列3~5个,按词条的外延层次从大到小排列,应在摘要中出现。 1.3 目录 目录独立成页,包括论文中全部章、节的标题及页码。目录中的标题应与正文中的标题一致,附录也应依次列入目录。 1.4 论文正文 论文正文包括绪论、论文主体及结论等部分。 1.4.1 绪论 绪论应综合评述前人工作,说明论文工作的选题目的、背景和意义、国内外文献综述以及论文所要研究的主要内容。对所研究问题的认识,以及提出问题。 1.4.2 论文主体 论文主体是论文的主要部分,应该结构合理,层次清楚,重点突出,文字简练、通顺。 1.4.3 结论(结果与分析) 结论是对整个论文主要成果的归纳,应突出论文(设计)的创新点,以简练的文字对论文的主要工作进行评价。若不可能导出应有的结论,则进行必要的讨论。可以在结论或讨论中提出建议、研究设想及尚待解决的问题等等。 1.5 致谢 向给予指导、合作、支持及协助完成研究工作的单位、组织或个人致谢,内容应简洁明了、实事求是,避免俗套。

毕业论文范文模板

以下黄色背景文字均为格式说明文字,调整完格式后应予 删除。本模板已经设置好页面格式,不得改动。其中页面设置参数为,A4纸张;页边距为上 2.5 厘米、下 2.5 厘米、左 2.5 厘米、右 2.5 厘米。 目录 目录居中,自动生成, 4 号宋体,双面打印 , 若不够双页则独立成页 一、当前新闻教育存在的几个矛盾 (3) (一)粗放式的发展规模与媒体对新闻院校毕业生需求日益减少的矛盾 . (3) (二)融媒体的媒介技术环境与传统的新闻传播专业教育分类的矛盾3二、当前新闻教育的调整 (4) (一)控制规模,准确定位,推进差异化的办学理念 (4) (二)调整专业分类和课程结构,应对融媒体的时代要求 (4) 三、开门办学,加强实践教学建设 (4) (一)实践教学是 ,, (4) (二)做好宏观上的规模控制和微观上的专业分类、课程设置 (5) 四、结语 (5) 参考文献 (5) 1

文章正文字体为 4 号宋体, 1.5 倍行间距,双面打印。 [ 摘要 ](“摘要”应是对全文内容的准确、简明的概括,是对全文内 容的创新的浓缩, 200-300 字。以下为范例)近年来高速、大规模扩张的高校新闻教育,与媒体对新闻专业毕业生需求日益减少的矛盾越来越突出, 暴露出当前高校新闻人才培养的诸多问题。 [ 关键词 ] (关键词是反映论文最主要内容的术语,每篇论文一般可选3—6 个关键词,关键词之间应以分号隔开。以下为例文)新闻教育;发展规模;课程结构;实践教学 以下为正文,此处应空一行,删除此处黄色说明字体即可 进入 21 世纪以来,中国的新闻学教育进入了一个全新高潮期,同时也 不可否认,中国新闻学教育也进入了无法回避的危机期。在这场新闻教育 的危机中,高校教育的危机体现得也最为明显。高校的新闻学教育在整个 新闻学教育体系中占据着无可争议的主体性地位,①在新闻教育活动当中,能够承担设计和教学组织任务的最重要的主体无疑是新闻学专业的院系机 构,新闻专业教育目标的制定、整体教育内容的确定、不同教育方法的选 择以及整体教育程序的设计都取决于院系机构的决策。所以从某种意义上 说,新闻学教育的整体规范都要通过新闻院系的专业决策来实现。然而随 着新闻媒体的强劲发展,新闻学专业的教育机构却陷入了难以克服的矛盾 之中。而正是这些难以克服的诸多矛盾把高校新闻教育推向了整个新闻教 育危机的风口浪尖。 ①注释采用小五号宋体。注释是对论著正文中某一特定内容的进一步解释或补充说明,以及未公开发表的私人通信、内部资料、书稿和仅有中介文献信息的“转引自”等类文献的引用著录。参考文献序号用方括号标注( 如 [1] 、 [2] , ) , 一般集中列表于文末;而注释用数字加圆圈标注(如①、②,),一般排印在该页底脚。 2

吉林大学毕业论文(设计)要求及格式

论文要求 一、评优的毕业论文(设计)必须经过答辩。 二、毕业论文(设计)必须打印。文中所有的公式、图表及程序代码,在条件许可 时,应打印输出。 三、撰写200字左右的中文论文摘要,提倡以中外两种文字书写,外文摘要附在中 文摘要之后。 四、毕业论文(设计)一律左侧装订,A4正常打印。封面采用吉林大学统一模式。 (注:论文采用A4开本;正文字体:“All Times Roman”;正文字号:“小四”; 页眉:“吉林大学毕业生论文”居左+“论文题目”居右,字号:六号,字体:“宋体”;格式要求详见附件) 五、文中所用的符号、缩略词、制图规范和计量单位,必须遵守国家规定的标准或 本学科通用标准。作者自己拟定的符号、记号缩略词,均应在第一次出现时加以说明。 六、注序要与文中提及的页码一致。 七、文中引述的参考文献一律列在文章末尾,应分别依次标出: 【期刊文献】:编号、作者、文章题目、刊名、年份、卷期、引用页码 【图书文献】:编号、作者、书号、出版单位、出版年份、版次、引用页码。 八、论文包括:摘要(中、英)、目录、绪论、章节、致谢、参考文献等。(例如第 一章、第二章第一节、第二节) 九、目录单独标注页码;绪论、章节、致谢、参考文献等统一标注页码。摘要(中、 英)不标注页码。 十、指导教师评语、评阅人评语、答辩意见,在装订时,装订在论文的最后。 (见最后三页,打出来,放到论文打印稿的最后三页,顺序为指导教师、评阅人、答辩组组长) 十一、字数:6000—12000字。 吉林大学应用技术学院

No. 毕业论文(设计) 题目:_________________________________________________ _________________________________________________ 学生姓名__________________ 专业__________________ 班级__________________ 指导教师__________________ 年月日

毕业设计论文模板

本科生毕业论文(设计)Undergraduate Graduation Thesis(Design) 题目Title: 院系 School (Department): 专业 Major: 学生姓名 Student Name: 学号 Student No.: 指导教师(职称) Supervisor(Title): 时间:年月日 Date: Month Day Year

说明 1. 毕业论文(设计)的写作格式要求请参照《中山大学本科生毕业论文 的有关规定》和《中山大学本科生毕业论文(设计)写作与印制规范》。 2. 除完成毕业论文(设计)外,还须填写三份表格: (1)表一毕业论文(设计)开题报告; (2)表二毕业论文(设计)过程检查情况记录表; (3)表三毕业论文(设计)答辩情况登记表。 3. 上述表格均可从教务部主页的“下载中心”处下载,如表格篇幅不够, 可另附纸。每份毕业论文(设计)定稿装订时应随同附上这三份表格。4. 封三是毕业论文(设计)成绩评定的主要依据,请认真填写。 Instruction 1. Please refer to ‘The Guidelines to Undergraduate Graduation Thesis (Design) at Sun Yat-sen University’and ‘The Writing and Printing Format of Undergraduate Graduation Thesis(Design) at Sun Yat-sen University’for anything about the thesis format. 2. Three forms should be filled up before the submission of the thesis (design): (1)Form 1: Research Proposal of Graduation Thesis. (2)Form 2: Process Check-up Form. (3)Form 3: Thesis Defense Performance Form. 3. All the above forms could be downloaded on the website of the Office of Education Administration. If there is not enough space in the form, please add extra sheets. Each thesis (design) should be submitted together with the three forms. 4. The form on the inside back cover is the grading sheet. Please fill it up before submission.

毕业设计(论文)--基于spss对大学生对食堂满意度的调查研究—以江苏理工学院为例8

基于SPSS 对大学生对食堂满意度的调查研究 —以江苏理工学院为例 学院名称: 数理学院_ _ 专 业: 统计__ _ 班 级: 10统计1w_ 组 别: 第五小组 _ 姓 名:_ _ _ 指导教师: JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 专业见习报告

大学生对学校食堂满意程度的调查研究 —以江苏理工学院为例 摘要:食堂是在校大学生一日三餐的地方,是每个同学都必到的场所。根据调查大部分同学的吃饭问题都是在学校的食堂解决。食堂紧密的联系着大学生的学习、生活和健康。食堂既是学校的硬件设施之一,又是学校管理的重要组成部分。为了更好的了解校食堂情况,为学校更好的加强对食堂的管理,我们做了一次有关学校食堂满意度的调查。本次调查以江苏理工学院为例。首先,设计了调查问卷,采取随机抽样的调查方式发放给400名学生进行调查,其次通过收集得来的数据运用SPSS软件,采用了描述性统计、列联表分析、多重反应分析和因子分析等方法进行分析,从而得出了在校大学生在食堂就餐的状况以及存在的问题,比较全面地了解了我校在校大学生对食堂满意度的大体情况,进一步提高食堂的工作质量,营造和谐的就餐环境和改善大家的生活条件,使同学们能够得到更好的饮食服务. 关键词: 学校食堂,卡方检验,因子分析

Survey Reports On the Satisfaction Of College Canteen Abstract: Canteen is a place where college students have their meals per day, and where every classmate has to go into. According to a survey, most students choose to take a meal in the school canteen. So, school canteen is closely related with students learning, life and health. Dining room is one of the school hardware facilities, which is important part of department for school management. In order to better understand the school canteen, better strengthen the management of the canteen for the school management, we conducted a survey of school canteen satisfaction in Jiangsu University of technology. First, designing the questionnaire, adopting the size of random sampling investigation, inputting the collected data with SPSS, then the descriptive statistics, contingency table analysis, multiple response analysis and factor analysis method are applied to deal with the data. So, we conclude the conditions as well as the problems of the college canteen, and is help to improve the quality of work in the canteen and everyone's living conditions, to create a harmonious dining environment, to enable students to get a better food service. Keywords: the school dining room, chi-square test, factor analysis

毕业设计(论文设计)任务书(范文,仅供参考格式)

学院 毕业设计(论文)任务书 课题名称教学楼智能灯光控制系统设计 系部电子信息工程系 专业电子信息工程 班级05电本1班 学号0500604143 姓名容慧钧 指导教师(签名)年月日教研室主任(签名)年月日

一、课题的容和要求: 设计并制作一个以MCS-51系列单片机为核心,由主机单元和从机单元组成,通过指令的方式实现教学楼照明的一套智能教学灯光控制系统。其中从机单元包括控制器、光线采集电路、人数采集电路、显示模块、通信接口模块,主机单元包括液晶显示模块、键盘模模块、实时时钟模块等模块。 二、设计的技术要求与数据(或论文主要容): 论文的主要容: 设计一套关于教学楼灯光控制的系统,可实现对教学楼灯光的总体控制,不需要人工职守,能根据教室的光线情况、使用情况和设定的要求,自动分析各种状态给出照明控制信号,对教学楼的灯光进行自动控制。并能根据需要将每个教室的光线、当前教室人数、灯光使用情况反馈到中央控制单元,经过中央控制单元再反馈到学校的后勤管理灯光控制中心。 三、设计(论文)工作起始日期: 自2009 年1月20日起,至2009 年 4 月20 日止。 四、进度计划与应完成的工作: 1.2009年1月23日至2009年1月31日:查阅资料,做出设计方案; 2.2009年2月1日至2009年2月15日:模块电路的设计与实践,完成电路设计; 3.2009年2月16日至2009年3月10日:完成作品设计、制作与调试; 4.2009年3月11日至2009年3月31日:完成毕业设计论文初稿; 5.2009年4月1日至2009年4月20日:完成毕业设计的全部工作 五、主要参考文献、资料: [1] 广林.Protel DXP电路设计与制作[M].电子工业,2005.8,15-342 [2] 耀辉等.PowerPCB 5.0入门与提高[M].人民邮电,2004.6,17-48 [3] 康华光等.电子技术基础(模拟部分第五版)[M].高等教育,2006.1 [4] 康华光等.电子技术基础(数字部分第四版)[M].高等教育,1998.11

大学本科毕业论文、毕业设计

山东大学本科毕业论文、毕业设计 工作管理条例(试行) 毕业论文、毕业设计教学过程是高等学校实现本科培养目标要求的重要培养阶段。毕业论文、毕业设计是在大学期间学生毕业前的最后学习阶段,是学习深化和提高的重要过程;是学生运用已学过知识的一次全面总结和综合训练;是学生素质与能力培养效果的全面检验;是对学生的毕业及学位资格进行认证的重要依据;是衡量教育质量和办学效益的重要评价内容。因此,搞好比业论文、毕业设计工作,对全面提高教学质量具有重要意义。为了加强对毕业论文、毕业设计工作的规范化管理,根据教育部有关规定和本科专业培养计划的要求,结合我校实际情况,特制定本条例。 本条例适用于全日制本科生毕业论文、毕业设计,全日制专科生毕业论文、毕业设计亦可参照执行。 一、目的和要求 (一)目的 毕业论文是高等学校的应届毕业生在毕业前所撰写的学位论文,表明作者在科学研究工作中取得的新成果和新见解,反映作者具有的科研能力和学识水平。毕业设计是高等学校技术科学与工程技术专业的应届毕业生在毕业前接受课题任务,进行实践的过程及取得的成果。毕业论文、毕业设计的目的是培养学生综合运用所学的基础理论、专业知识和基本技能,提高分析和解决实际问题的能力,使学生在知识、能力素质方面得到综合训练、转化和提高。 (二)要求 各院(部)要加强对毕业论文、毕业设计工作的领导。在毕业论文、毕业设计工作中,要认真贯穿“三个结合”的原则:理论与实践相结合,教学与科研、生产相结合,教育与国民经济建设相结合。通过三个结合,实现毕业论文、毕业设计的教学、教育功能和社会功能。按照高等学校人才培养目标和毕业论文、毕业设计工作教学目标的基本要求,重视学生多学科的理论、知识和技能等综合运用能力的实际训练,加强学生创新意识和创造能力的培养,不断提高毕业论文、毕业设计质量、人才培养质量及教学管理工作水平。 搞好毕业论文、毕业设计工作的关键在于指导教师。各院(部)要采取有效措施,加强指导教师队伍的建设,按要求选配好指导教师,并充分发挥指导教师的作用。要加强对学生毕业论文、毕业设计的选题、指导、答辩、成绩评定等各个环节的质量检查,切实保证毕业论文、毕业设计的质量。 除医学类部分专业(如临床医学、口腔医学、护理学等专业)外,其余专业都要进行毕业论文、毕业设计工作。 二、进程安排

本科生毕业设计(论文)模板2017

论文) 题 目: 线性表的设计和实现 专业年级: 2012级信息与计算科学专业 指导教师: 李四 年 月 日

中国石油大学胜利学院本科毕业设计(论文) 摘 要 数据结构算法设计和演示(C++)树和查找是在面向对象思想和技术的指导下,采用面向对象的编程语言(C++)和面向对象的编程工具( Borland C++ Builder 6.0)开发出来的小型应用程序。它的功能主要是将数据结构中链表、栈、队列、树、查找、图和排序部分的典型算法和数据结构用面向对象的方法封装成类,并通过类的对外接口和对象之间的消息传递来实现这些算法,同时利用 C++ Builder 6.0中丰富的控件资学中帮助理解、辅助教学和自我学习的作用。 关键词:毕业设计;格式;规范

ABSTRACT Xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx Keywords :Xxxx ;Xxxx ;Xxxx

目录 2.2.2 三级标题名 (2) 2.3线性链表 (2) 第三章设计的主体内容 (3) 3.1系统结构的设计 (3) 3.2交互界面的设计和实现 (3) 3.3线性表的00P序设计 (3) 3.3.1线性表的顺序存储的实现 (3) 第四章结果分析与讨论 (4) 第五章结论 (6) 参考文献 (7) 致谢 (8) 附录 (9) 附录A名词术语及缩略词 (9)

第一章 计算机与网络技术的高速发展,特别是面向对象技术的出现,使得 C++的软件开发得到了迅速普及。 本课题主要………………

毕业设计论文格式模板

毕业设计论文格式模板 毕业设计论文格式模板1、毕业设计(论文)的基本任务是:培养学生综合运用所学知识和技能,分析解决工程实际问题的能力。 2、毕业设计应使学生受到综合训练,培养独立工作能力。如调查研究、查阅文献(中、外文资料)、理论分析、制订设计方案,工程设计、计算与绘图、实验研究与数据处理、计算机应用、技术经济分析、质量效益分析等能力,撰写论文或设计说明书。 3、毕业设计应重视开发学生的创造力。 4、毕业设计应培养学生正确的设计思想和树立严谨、科学的工作作风。 5、各专业应对毕业设计下达毕业设计(论文)任务书,内容包括:毕业设计任务(题目);毕业设计内容;完成毕业设计任务(设计时间、完成标准)的要求等。 1、选题应符合本专业培养目标要求,体现本专业特色,力求与工作实际、科学研究及实验室建设相结合,题目应有综合性,有利于巩固、深化和扩充学生所学知识,有利于学生得到较全面的工程训练,有利于培养学生的独立工作能力和勇于创造的科学精神。 2、题目大小和难度适度,设计工作量要饱满,能在教

学计划规定的时间内保质保量按时完成任务。 3、题目类型:理工类以“工程设计”为主;经管类可作“论文”,撰写开发研究报告等。 1、指导教师应由具有中级职称及中级以上职称的老师担任。为保证毕业设计质量,原则上每名教师指导本科毕业生人数不能超过5人,指导专科学生人数不能超过7人。 2、指导教师职责:认真拟定设计任务书、做好设计或实验的必要准备,指导查阅文献和收集、分析资料,指导编制设计工作计划及进程,审定设计总体方案,指导设计或实验,检查设计情况和进度,审阅毕业设计(论文),认真书写老师评语,力求真实全面反映设计(论文)水平。在指导过程中要教书育人、严格要求、培养学生独立工作的能力,积极引导学生发挥创造性。 3、指导教师应增加面授指导时间,每周不应少于8学时。要根据学生的基础和特点,启发引导,切忌包办代替或放任自流,要认真掌握进度,经常检查及协调。 1、在设计工作中应坚持科学的态度,要有高度的责任感,刻苦钻研、努力创新、高质量地独立完成毕业设计。 2、尊重导师,虚心向导师和参与指导的工程技术人员学习。 3、加强组织纪律性,服从领导、遵守纪律,做好技术保密工作。

【完整版】长安大学毕业论文设计

本工程为西安某学院办公楼设计,该楼为六层,总高23.3米,总建筑面积约为 6287.76m2。本设计依据设计任务书,运用力学钢筋混凝土、结构力学基本原理及土力学和对材料性质的深刻了解,遵守设计规则,保证建筑结构合理,所有材料的质量和强度合格,工艺良好。 本建筑设计分为:建筑设计、结构设计。 建筑设计采取积极措施来增强建筑物的外表强度和坚固性,给人以心理上的安全感。另外,还要有艺术的美感,要有时代气息。 结构体系是钢筋混凝土框架结构,结构设计是使结构物得到足够的强度、刚度和韧性的过程。结构体系选择后,进行荷载分析和强度分析,同时考虑与建筑经济学的关系,把材料制做安装所需成本、所用时间,以及结构使用期间的维修联系起来。 关键词:框架;结构设计;内力计算

The Office of the Xi 'n XX college Abstract This project is The Design of the Office of the Xian XX college, There are six storys in the building.The general is based on the design requirements, original information, application of mechanics RC, basic principles of structural mechanic, soil mechanics and well knowing material quality, obeying rules of design, ensuring the structures of architecture reasonable, and the quality and intensity of all materials are qualified, and the techniques are the same. This architecture design is divided into three parts: architecture design, structural design. Architecture design will adopt available measuresto increase constructions surface intensity and firmness. It will also give people safe felling on psychological. On the other is a process of making construction structures get enough intensity, stiffness and toughness. After structural system is chosen, carrying out the analysis of load and intensity, at mean time taking into account the associatedrelation with building economics,combining the time and cost of materials fabricating and fixing, and the maintenance of structure during operation and use period. Construction organization schedule: according to scientific subdivision works, continuous construction methods, reasonable arranging construction orders, paying attention to safe measures, and ensure to obtain economic benefit. Keywords : frames; structural design; intevnalforce calculation

毕业论文范文优秀模板

毕业论文范文(优秀模板)

————————————————————————————————作者:————————————————————————————————日期:

生产计划与控制方法在BA汽车零部件有限 公司的应用 摘要:随着全球经济一体化的不断深入、科学技术的迅猛发展以及贸易阻力的不断减少,企业间的竞争不断加剧。因此,企业如何缩短生产周期、提高生产效率、杜绝物料浪费、降低成本成了摆在管理者面前一个至关重要的问题。生产计划作为企业生产管理的依据,有着非常重要的作用。 本文探讨了生产计划与控制理论在温州BA汽配有限公司的应用。首先,本文介绍了生产计划与控制相关理论以及该企业的一些现状,然后重点分析了BA汽配的主打产品空气流量计的生产工艺过程,最后制定出了该产品的主生产计划和物料需求计划,减少了工时浪费,避免了等待等不合理现象的出现,从而缩短了交货期,提高了效率。 关键词:生产计划;空气流量计;主生产计划;物料需求计划 目录 中文摘要 (Ⅰ) 英文摘要 (Ⅱ)

1 绪论 (1) 1.1 论文的研究意义 (1) 1.2 论文的研究思路 (1) 1.3 论文的研究内容 (2) 2 生产计划与控制基本理论 (2) 2.1 国内外研究的现状 (2) 2.2 生产计划与控制理论简介 (3) 3 生产计划与控制方法在BA汽配的应用 (5) 3.1 企业概况 (5) 3.1.1 BA汽配简介 (5) 3.1.2 BA汽配生产计划与控制现状 (8) 3.1.3 BA汽配生产计划与控制存在的问题 (9) 3.2 编制主生产计划 (10) 3.2.1 主生产计划概述 (10) 3.2.2 制定主生产计划 (10) 3.3 编制生产能力计划 (11) 3.3.1 产品工艺过程 (11) 3.3.2 测定标准工时 (13) 3.3.3 产线平衡分析 (15) 3.3.4 计算生产能力 (17) 3.4 编制物料需求计划 (17) 3.4.1 产品结构树 (17) 3.4.2 编制物料需求计划表 (19) 3.5 实施效果分析 (22) 4 结论 (22) 致谢 (23) 参考文献 (24) 1.绪论 1.1 论文的研究意义 1.2 论文的研究思路

南开大学本科毕业论文模板

使用模板前,请对电脑的域底纹做显像选择,以方便查看。方法如下:Office2007以上版本: 凡是有深灰色域底纹之处,鼠标点击域文字中段,再输入文字。采用“域”对文本格式已做完设置,方便操作。 本页删除! 从下一页开始撰写!

南开大学 本科生毕业论文(设计) 中文题目:【点击中部,键入文字。打空格,延长下划线】外文题目:【点击中部,键入字母。下划线与上行对齐】 学号: 姓名: 年级:2011级 专业: 系别: 学院:周恩来政府管理学院 指导教师: 完成日期:2015年X月X日

南开大学 本科生毕业论文(设计) (双学位专用) 中文题目:【点击中部,键入文字。打空格,延长下划线】外文题目:【点击中部,键入字母。下划线与上行对齐】 学号: 姓名: 年级:2011级 专业: 系别: 学院: 双修专业: 双修院系:周恩来政府管理学院 指导教师: 完成日期:2015年X月X日

关于南开大学本科生毕业论文(设计)的声明 本人重声明:所呈交的学位论文(设计),题目《点击中部,输入论文题目》,是本人在指导教师指导下,进行研究工作所取得的成果。除文中已经注明引用的容外,本学位论文的研究成果不包含任何他人创作的、以公开发表或没有公开发表的作品容。对本论文所涉及的研究工作做出贡献的其他个人和集体,均已在文中以明确方式标明。本学位论文原创性声明的法律责任由本人承担。 学位论文作者签名: 2015年月日 本人声明:该学位论文是本人指导学生完成的研究成果,已经审阅过论文的全部容,并能够保证题目、关键词、摘要部分中英文容的一致性和准确性。 学位论文指导教师签名: 2015年月日

相关文档
相关文档 最新文档