文档库 最新最全的文档下载
当前位置:文档库 › 陈涛后端面试总结_v1

陈涛后端面试总结_v1

陈涛后端面试总结_v1
陈涛后端面试总结_v1

难度指数:

1:常识--无论是否有工作经验,都应该准确回答

2:简单--只要做过一点后端的设计,就应该明白

3:一般--有实际工作经验一年左右,做过2个以上真正的设计,应该可以答上来

4:较难--在这个特定的领域有较多的研究,并积累了一定的经验

5:很难--基本上是专家级的牛人了!

transition是正确判断delay的前提,如果transition有violation,setup/hold的值就不准确,也就没有修的必要所以要先修好tran/cap这样的基本参数,然后再去看setup/hold,这样才是比较准确真实的setup/hold

增加decap会减小IR_drop,会带来什么影响?

影响:面积大,占用size 边泄漏功耗产生

作用:会减小noise,稳定电压

sign-off的标准一般是timing

balancing clock skew只是CTS的一个中间步骤,判定timing的话还是要opt data path之后才能够得到。如果说skew的target比较小,CTS不容易进行balance而达到目标,那么tool很有可能采用牺牲clock path,或者叫latency,再或者叫insertion delay,来实现skew的目标。那么,这样当然会插入更多的cell,走更长的path来实现,自然功耗就大了。同时,clock net比较sensitive,path越长,潜在的OCV越明显。那么,反过来说,将skew的target设得比较大,tool可能可以采用更加简单的结构来build clock tree。

再说个题外话,CCOpt是timing-driven的CTS tool,可以用更短的clock path长度和更少的clock cell实现clock。总之一点,只要保证你的设计结果满足你的constraints,就没有问题。

PBA:一个cell中,如果有一条path的transition或者loading很大,它不但会影响自己的时序,还会影响这个单元的其他path的时序。

CTS之前,clock都是ideal的,这时候的transition和setup都是由data path引起,如果一定要在这个时候fix,无论从数量还是产生因果上来看,显然应该先fix transition violation。

用latch可以borrow timing,即便enable信号不满足setup,也可以成功采到实现gating功能。

如果用Flop,一旦因为干扰或者时序本身的问题,很可能导致第一拍采不到数,会使得gating的时序落后一拍,如果时序要求严格,会产生问题。

@@1、下面是第一个真正的问题:

Why power stripes routed in the top metal layers? 为什么电源走线选用最上面的金属层?

难度:1

因为顶层金属通常比较厚,可以通过较大的电流

1.高层更适合global routing.低层使用率比较高,用来做power的话会占用一些有用的资源,

比如std cell 通常是m1 Pin 。

2. EM能力不一样,一般顶层是低层的2~3倍。更适合电源布线。顶层金属通常比较厚,可以通过较大的电流

3.一般ip占用的层次都靠近下几层,如果上层没有被禁止routing的话,top layer 可以穿越,低层是不可能的,并且高层对下层的noise影响也小很多。

@@2、Why do you use alternate routing approach HVH/VHV (Horizontal-Vertical-Horizontal/ Vertical-Horizontal-Vertical)?

为什么要使用横竖交替的走线方式?(感觉这个问题比较弱智,但是号称是intel的面试问题,晕!我憧憬和向往的圣地啊!!!)难度:1

1.有效利用布线资源,更利于布线

2.线间干扰最小

@@3、How to fix x-talk violation?

如何解决线间干扰?

难度:4 (关于难度的定义,在第一题里面)

(应该至少有5大类解决办法,wire spacing, shielding, change layer之类的只算其中1类)

1.增加受害网络的驱动能力upsize victim driver减小侵害网络的驱动能力

2.Double witch ,double spacing,wire shileding

3.Insert buf in victim net

4.Victim的输入端改成hi-vth cell ,hi-vth保证了再小纹波干扰下不发生翻转

5.改变timing windows

@@4、What are several factors to improve propagation delay of standard cell?

哪些因素可以影响标准单元的延迟?难度:3

答案应该包括

1)PVT

2)input transition,output load

3)Vth

@@5、What would you do in order to not use certain cells from the library?如何禁止使用库里面的某些单元?难度:1

禁用就用set_dont_use禁止修改就用set_dont_touch

@@6、During the synthesis, what type of wire load model are often used?

做RTL综合时,经常使用的wire load model有哪几种?难度:2

注意:问题是wire load model,不是wire load mode,也不是delay model

答案:

1)zero wire load model

2)基于fanout的传统WLM

3)基于物理位置(距离)的wire load model,在Cadence的RC中叫PLE,Synopsys叫DC Ultra Topographical

附加问题:

What types of delay model are used in digital design? (数字IC设计中有多少种类型的delay model)

答案就是你说的―NLDM,CCS,和ECSM‖,还有一个现在基本不用了的--LDM

DC默认的应该是zero wire load model,当然也会根据design 的大小选择不同K值的wire model,

nldm(非线性负载模型)

CCS(synopsys)和ecsm(cadence)都是电流源模型,精细度更高,

这三种模型是对cell delay的计算方式的不同区分;

PVT(process voltage temperature)条件

就是我们平时做时序分析的wcl、wc、lt、tc、ml等corner设置work condition

一般情况下wcl下修复setup

lt下修复hold、ml下查看leakage

答案:NLDM CCS ECSM 还有一个现在基本不用了的—LDM

@@7、How delays are characterized using WLM (Wire Load Model)?使用一般的WLM (不是zero WLM,也不是按照物理位置算的DCT),DC是如何计算delay的?难度:2

look up table LUT

一条Timing path上的Delay有2部分组成,Cell Delay + NetDelay。

在DC中,Net Delay应该来说就是有WLM中得到的,而Cell Delay就是根据WLM中得到的input Tran跟Cell 的output load通过查表得到Cell delay。

DC在计算延时的时候,主要根据输出的tansition 和net的RC值来计算。output tansition是由驱动cell的input tansition

和load通过查表得到的,net的rc就要根据所选取的wrie load model来计算,

计算时和输出的fanout决定

以smic13的smic13_wl10为例

wire_load("smic13_wl10") {

resistance : 8.5e-8;

capacitance : 1.5e-4;

area : 0.7;

slope : 66.667;

fanout_length (1,66.667);

根据fanout值,由fanout(1,66.667)可以得出互连线长度为66.667,然后根据resistance和capacitance计算出互连线电容为1.5e-4*66.667,互连线电阻为8.5e-8*66.667

,当然如果扇出值表中没有,就会用到slope,例如扇出为3时,此时估算的互连线长度为1*66.667+(3-1)*slope,再计算出RC值,然后DC由此计算net的延时。

@@8、There are source clock clka (create_clock), and generated clock clkb by clka.

In pre-CTS netlist, there is network latency in clka, how this latency propagates to clkb?

In post-CTS netlist, What you need to do for this network latency?

假设有两个时钟,原始为clka,生成的时钟为clkb,

在没有时钟树的网表中,clka的network latency会自动传递到clkb上吗?clkb的latency如何描述?

在生成时钟树的网表中,如何处理network latency? clkb的latency又如何描述?难度:3

答案:

在pre-CTS时,clka的network latency会自动传到clkb上在post-CTS时,可以把network latency去掉,

set_propagated_clock命令,让工具根据clock tree去计算实际的clock network latency

@@9、There are source clock clka (create_clock), and generated clock clkb by clka. how do you

specify them in CTS spec file? Assume there is real timing path between clka and clkb.

clkb是clka的生成时钟,在CTS的spec文件中如何定义这两个时钟?假设clka和clkb

间的FF有时序收敛的要求。难度:3

答案:

CTS的spec文件中定义clka 是root,clkb 为through pin,再加上那些应该有的skew

transition,insertion delay等就好了,其它的事CTS会给你做

@@10、假设在pre-CTS的时序约束中,setup的clock uncertainty是由PLL jitter和clock tree

skew两部分组成,那么

1)pre-CTS的时序约束中,hold的clock uncertainty是什么?

2)post-CTS的时序约束中,setup和hold的clock uncertainty要做什么样的修改?难度:2

答案:1)pre-CTS,

setup的clock uncertainty = PLL jitter + clock tree skew

hold的clock uncertainty = clock tree skew

2)post-CTS,

setup的clock uncertainty = PLL jitter

hold的clock uncertainty = 0

@@11、What are various techniques to resolve routing congestion?

请详细解释解决走线阻塞的问题难度:4

提示:1)routing congestion发生在后端,前端一般不太考虑这个问题,需要后端自己去

办法解决,但是解决的办法不只在后端,也有一些方法需要前端的配合

2)阻塞有多种情形,要分别讨论,没有一个统一的解决办法。能够把大部分的阻塞情况列举出来,就已

经够4级的水平啦

答案:1)阻塞在RAM(macro)之间:可能RAM之间的距离没有计算正确,可以加大RAM之间的间距;扭转RAM 的方向,使得RAM的IO pin朝向更容易走线的那边;如果是多个RAM共用地址或者数据线,尽量把RAM的地址数据pin对齐

2)阻塞出现在RAM和帮助单元交界的地方:在RAM周围加一条halo(keepout);把RAM放在四周,尽量把中间留下的空间变成方形;在有阻塞的地方加一些由小的placement blockage组成的矩阵

3)阻塞出现在标准单元的某一块:也可以加一些由小的placement blockage组成的矩阵;module/instance padding;

利用placement guide减少那块地方的标准单元个数;scan chain reordering也会改善一些阻塞;定义density上限;

使用congestion driven的placement,并且要求place之后做congestion优化;在综合是禁止使用那些pin太多太密集的标准单元(多半是那些复杂的组合逻辑单元);请前端使用RAM代替触发器矩阵;请前端修改算法

4)应该尽量减少power route占有的资源,谨慎选择power mesh使用的金属层,VIA的大小等。在detail route完成之后,你如果已经试了各种解决signal congestion的方法,还有少量DRC无法解决时,可以考虑切掉部分power mesh

主要就是memory channel出现congestion的处理,还有全是标准单元但有congestion的处理。若全是标准单元但仍有congestion,要么是local density太高,要么就是pin density太高,这时候就要限制cell density来降低pin density,另外如果是用ICC的话,在placement的时候使用global router来进行congestion removal以及congestion removal 用high effort都是有帮助的。

@@12、How do you get better skew/insertion delays in CTS (Clock Tree Synthesis)?

如何得到更好的时钟树skew和insertion delay 难度:4

如果是用普通的CTS的方法,可以从下面几个方面着手。不太可能一次就把CTS做得很好,要反复调试各种参数,达到最佳效果。

1)合理的clock root和through pin。这个看似CTS会从SDC自动抓出来,但是并不一定是最好的,特别是多个clock相互有重叠的leaf pin时,要特别注意

2)不要用太大或者太小的clock buf/inv

3)选用RC最小的金属层。如果上面RC最小的金属层已经被占用,比如RC最小的top,top-1已经不够clock net 时,而top-2到layer2都是一样的RC时,可以选用layer3/4。为什么不用更高层哪?因为这样既照顾了layer2/1的pin,有不用太多的via到更高层

4)如果用double width clock wire,可以适当增大clock buf/inv的size

5)合理的max fanout。有时clock buf/inv的fanout可以超过max_fanout的限制

6)不要把skew设得太小

7)min_insertion_delay = 0ns

8)合理的transition time,不要太小

9)使用postCTS的CTS opt

10)做clock tree时,就直接把clock net走线完成

CTS时,就把时钟net都route了,不要等到detail route那一步

@@13、If giving total standard cell gate count, all memory macro list including memory type, bit

width and depth, all other macro with real size, and IO type and total number. How do you

estimate the die size?

如果告诉你标准单元的门数,所有内存的类型和逻辑大小,其他IP的实际大小,以及IO cell

的种类和数量,你如何估算整个芯片的面积?

答案:

IO neck 和core neck 一般称作IO limited 和core limited,

IO limited :这个芯片的面积是因为IO个数限制(太多),而不得不做得那么大。core部分其实用不了那么大。

这时面积计算就简化为每边IO个数的计算了。

Core limited:芯片面积是有core部分的决定的,IO没有那么多

在Core limited情况下,die size的估算如下:

芯片面积= core面积+ power ring面积+PAD ring面积

core面积= RAM面积+ 其他macro面积+ 标准单元面积

RAM面积= RAM 自身的面积+ RAM power ring面积+ keepout面积+ mbist面积

RAM自身的面积可以通过memory compiler或者查datasheet得到,

有些RAM 可以不要power ring。如果要的话,按照power mesh的宽度x RAM的长宽x 2 = 面积

keepout + mbist 的面积一般是RAM自身面积的10%

其他macro的面积,比如PLL,ADC,DAC等,直接把面积加起来,再留3~5%的keepout面积就好了

标准单元的面积=(预估的gate count x 每个gate的面积)/ utilization

utilization与使用的金属层数和设计的用途有关,简单地计算方法是

5层metal:50%

6层metal:60%

7层metal:70%

8层metal:80%

以上不包括power专用的金属层

如果设计是多媒体芯片,一般可以增加3~5% utilizaion,如果是网络芯片,则要减少3~5%

case by case吧,io neck or core neck ,

我们一般是很快摆摆各个hard module位置,fast place , 看一下neck,估计一个合适的uti ,re-try几次,基本上面积就定下来了,不过将来再变的可能挺大的,一般要2~3次变size

我们这边前端team也会预估他们设计的面积,然后给我们,说是他们期望的面积,他们是统计一下total cell area * 1.2,表示考虑到了电源空间和std util,不过基本上我我们实际都比这大不小于20%,感觉不着调,对后端很苛刻啊

门数知道,面积可以确定了,考虑到你的std uitl,hard ip也只知道大小了,sum一下,加上你认可的(经验参数)p/g/special route 面积,大体确定了。如果是io neck的话,io min-space排起来就是你的最小面积了,但此时无法保证package 可以过。

@@14、what is pros and cons of using buffer and invters in CTS?

CTS中使用buffer和inverter的优缺点是什么?

难度:3

答案:

使用BUF:

优点:逻辑简单,便于post-CTS对时钟树的修改

缺点:面积大,功耗大,insertion delay大

使用INV:

优点:面积小,功耗小,insertion delay小,对时钟duty cycle有利

缺点:不易做时钟树的修改

@@15、If giving two physical dies as below, and ask you select one of them. How do you pick it up? explain the reason please.

(1)width = 2 x height

(2) height = 2 x width

如果从下面的两个芯片中选一个给你做后端设计,你选哪个?请说明选择的理由?

(1)宽= 2倍的长

(2)长= 2倍的宽

答案:

去除不太好用的layer(比如metal1)和power专用layer(比如RDL)后,比较剩下的layer可以提供的H和V 的routing resource,如果H的多,就选宽的,反之,就选高的。

看这个设计是几层金属的了,我觉得H向的多(比如3层,5层。。)我就选宽的,反之就选高的。

因为一般大家习惯把std做成横向,也就是metal1是H的,所以高的可以节省些strap。

@@16、if the design is IO limited, how to reduce the die size?

因为IO太多而导致芯片面积过大,有什么方法减小面积?难度:2

答案:

1)stagger IO,2重io 可以算一个方法

2)IO可以不全放到四边,只要封装没问题就行啦-- flip chip 算第二个方法

3)如果有多套IOcell可以选择,尽量选瘦的

4)调整芯片的长宽比

@@17、giving the schematic and delay in attached picture, calculate the WC setup slack at D pin of F2, and BC hold slack at D pin of F4

如图所示,时钟和延迟,计算WC下到F2输入端D的setup slack,BC下到F4输入端D的hold slack 难度:3

答案:

时钟周期4,后面有个分频器,所以为8

一般bcwc 计算都用bc算hold。bc情况下,cell,net的delay都比较小。

计算ocv是clock path用bc,data path用wc

F2输入端D的setup slack是(8+0.5-0.3)-(0.7+7.0)=0.5

F4输入端D的hold slack是(0.2+0.2)-(0.2+0.2+0.1)=-0.1

@@18、using the same logic as question #17, considering OCV on clock path only, which clock buffer will be used for OCV derating calculation and which clock buffer will not (a.k.a. CPPR)?

如果考虑clock path的OCV,在第17题的电路里面,哪几个时钟BUF要被用来计算OCV的derating,哪几个不用(又叫CPPR)?暂不考虑X-talk产生的incremental delay

答案:

C1 C2不用算入derating(应该是也计算过,但是会通过CRPR弥补),C3,C4,C5 要计算derating

附录:以下是几个概念的通俗解释。

OCV:因为制造工艺的限制,同一芯片上不同位置的单元会有一点差异,这就是OCV。现在还有LOCV和AOCV,暂且不提。

derating:是计算OCV的一种简单方法,在某个单一条件下,比如WC或者BC,把指定path的延迟放大或者缩小一点,这个比率就是derating。注意,这里要强调的是某个单一条件,要么是WC,要么是BC,不能把WC和BC混在一起,再OCV,因为那样太悲观,实际上是很难发生的。

除了derating以外,在使用incremental SDF的时候,也会对OCV发生作用。这是明天的问题,比较有难度。

CPPR:一条path的start flop和end flop的时钟路径,有时会有一部分是重合的,重合的部分不应该算OCV(注意,这里假设没有使用incremental SDF),这就叫CPPR。

@@19、continue from question #18. Because there is CPPR, the OCV derating on clock path C1 and C2 are canceled. Now giving incremental delay caused by x-talk at net between C1 and C2. Please use the derating to calculate the difference of clock path delay from C1 to C2 (including the net between them) for WC setup and BC hold.

接上面#18的问题继续讨论,因为有CPPR,在C1到C2那段clock path上面的OCV被抵消掉了。

现在我们增加一个由x-talk引起的incremental延迟在C1到C2的那段net上,具体数字见图。

问题:

对于C1到C2那段clock path,

在计算WC setup时,因为OCV引起的路径延迟的差是多少?

在计算BC hold时,因为OCV引起的路径延迟的差是多少?

注意:

问题是那段clock path因为OCV引起的path delay的差,不是问path delay的绝对值

难度:5

难度5的问题不是盖的吧,

好,改为选择题,

C1到C2一段的OCV延迟的差,

1)在计算WC setup时,是

a) 0

b) 0.0005

c) 0.00075

d) 0.0245

2)在计算BC hold时,是

a) 0

b) 0.001

c) 0.0015

d) 0.0265

答案:

现在从incremental SDF的格式说起,

(-0.01::0.015)(-0.015::0.01)

左边括弧里的是rising timing延迟,右边的是falling timing

括弧里面的一对数字表示在这个条件下(WC或者BC)延迟的最大和最小值

因为是incremental延迟,要和基本延迟结合使用,所以,会有负数出现。

再讲OCV的使用incremental SDF的方法,

OCV计算path delay时挑选最困难的情况,

在WC setup时,比如从F1到F2,

计算F1的clock path,就选incremental SDF里面的最大值0.015,

计算F2的clock path,就选最小值-0.01

因为有0.95 derating在-clock,-early上,所以F2的clock path要按比例缩小-0.01x0.95=-0.0095

所以C1到C2那段的OCV的差是0.015+0.0095=0.0245 (选项d)

在BC hold时,比如congF3到F4,因为2个FF在同一个时钟沿检测hold timing,

CPPR可以把incremental SDF的延迟也抵消掉,

所以C1到C2那段的OCV的差是0 (选项a)

结论:

计算setup时,CPPR不抵消incremental SDF

计算hold时,CPPR连incremental SDF都可以抵消掉

所谓on-chip-variation主要是指芯片上不同位置的cell之间的差别

@@20、Explain ECO (Engineering Change Order) methodology.

说一下ECO的流程难度:2

答案:

ECO 指的是工程改变指令(engineer change order)。当整个工程接近完成,但是时序或者电源有少数违规。要修正这些违规,如果重新进行全部线甚至从流程起点开始会非常耗时。因此布线工具会提供相应的功能在该阶段对布线进行手工修正。

ECO 布线

在默认状态下,布线器在实施ECO 布线时,只对部分需要加入逻辑单元的进行布线。同时尽可能保持其他的布线状态。ECO 布线在以下情况会非常有用:

1.当芯片已经完成布线,但是前端部门给出进行极小修改的新的网表。

2.当芯片已经初步完成布线。但是要插入缓冲器来修复建立时间,保持时间或者设计规则违规,从而进行进一步

优化。

3.插入天线二极管来修复天线效应。

4.金属填充已经完成。

ECO 流程:

1.解决掉RTL中的bug

2.在综合中实现ECO

3.形式化验证(RTL和网表)

4.版图实现

5.形式化验证(版图和网表)

6.导出GDS,后仿

不知道对不对,望陈涛前辈指正

正确答案:

ECO有两种,pre-mask ECO和post-mask ECO,它的分界线就是base layer tape out之前和之后。

pre-mask ECO的流程是

1)后端写出网表,给前端

2)前端修改这个网表(一般不再做综合),可以使用任何标准单元(只要不是dont_use),交给后端

3)后端读入ECO网表,和ECO之前的place和route

4)ECO place&route,STA,DRC/LVS

post-mask ECO流程,假设你不想动base layer

1)后端写出网表,给前端

2)前端修改这个网表(一般不再做综合),只能使用spare cell或者象gate array一样的ECO cell

3)后端读入ECO网表,和ECO之前的place和route

4)如果使用spare cell,不用ECO place;如果用ECO cell,要将ECO cell放在以前带gate array功能的fill cell的位置上,再按照指定的layer做ECO route

@@21、What do you write in CTS spec file?

CTS spec 文件中一般包含哪些内容?难度:3

答案:

(以Cadence CTS spec file 格式为例)

AutoCTSRootPin pad

Period

MaxDelay

MinDelay

MaxSkew

SinkMaxTran

BufMaxTran

Buffer

NoGating NO/YES

DetailReport YES/NO

#SetDPinAsSync NO/YES

SetIoPinAsSync YES/NO

RouteClkNet YES/NO

PostOpt YES/NO

OptAddBuffer YES/NO

#RouteType specialRoute

#LeafRouteType regularRoute

ExcludedPin

leafpin leafport

throughpin throughport

clkgroup

macromodel pin

@@22、If there are too many pins of the logic cells in one place within core, what kind of issues would you face and how will you resolve?

如果在core里面某一块有太多的标准单元的pin,有可能出现什么place&route的问题,如何解决?

难度:3

答案:

1)禁止使用pin太多的cell

2)减小utilization,方法很多

3)看v h 可用资源,适当调整moudle 形状

@@23、If there are DRC (spacing,short), hold and setup violations in the design, you don't have enough time to fix all of them before tape out, which one you will fix first, which one you can leave it as is?

Why?

如果设计中有DRC (特指spacing和short),hold和setup违反,tape out之前,你已经没有时间去修改所有这些违反,那么你首先修改哪个?哪个可以不管?请说明理由。难度:2

答案:

1) short, spacing

2) hold

3) 如果没有时间,setup可以忽略

先修hold,因为修hold可能会影响到cell的添加或减少,从而影响到金属之前的层如nwell、active、poly等;

setup是尽可能修,修不掉,出来后只能降频;

drc(space、short)只是金属层,tape out之后有一段时间还可以再改;这个可以先不管。

@@24、how to set multicycle path constraint?

如何设定multicycle path?难度:1

提示:在一般情况下,multicycle -setup 和-hold 要成对使用

答案:

clock domain:

fast-slow:set_multicycle_path num -setup -from clk1 -to clk2 -start

set_multicycle_path num-1 -hold -from clk1 -to clk2 -start

slow-fast:set_multicycle_paht num -setup -from clk2 -to clk1 -end

set_multicycle_path num-1 -hole -from clk2 -to clk1 -end

data path:

set_multicycle_path num -setup -from data1 -to data2

set_multicycle_path num-1 -hold -from data1 -to data2

延伸问题:

为什么-hold一般是-setup的n-1?如果只有-setup木有-hold会怎样?

答案:

hold 是对前后两个flip flop在相同时钟沿的检查.设了n-1就是返回n-1个周期做hold的检查,满足了两个flip flop 在同一个时钟沿。如果没有-hold 默认是检查n前一个有效时钟沿,如果n>=2,hold的检查就不是在同一个时钟效沿,对hold的要求就要多n-1个周期,那样太苛刻了,一般时序无法收敛

@@25、how are timing constraints developed, such as clock, generated clock, IO timing, exception? What backend team contribute to it?

一个设计的时序约束是怎么写出来的?请大略说明时钟,IO delay,false path,multicycle path是

如何得到的?在完成时序约束的过程中,后端可以给予什么样的帮助?难度:2

答案:

clock和generated clock一般由设计spec决定。除非有些个别的local generated clock可以有前端工程师自己添加IO timing与系统设计有关,应该参考/兼顾其他芯片的IO时序,由前端工程师作出

exception(false path,multicycle path)一般是由前端工程师在做设计时决定的

后端可以提供clock network delay/skew,DRV,以及帮助检查SDC是否合格

@@26、In regular backend flow with only one functional mode SDC, please explain timing closure methodology/issue/fixes in pre-CTS, post-CTS and post-Route stages.

在只有一个function SDC的普通后端流程中,对于pre-CTS, post-CTS 和post-Route这三步,请分别讲述它们在时序收敛上的方法,一般会遇到的问题和解决方法。

难度:3暂时不考虑DFT。后续的每日一题中,会加入DFT mode SDC。

答案:

pre-CTS时,使用ideal clock,只fix setup

post-CTS后,使用propagate clock,可以只fix setup,检查hold,但可以不fix hold

post-Route后,依然使用propagate clock,fix setup和hold

具体遇到的问题和解决方法:

pre-CTS: 如果有setup,重在调整floorplan,buffer tree结构

Post-CTS: 如果有setup,重在调整clock tree,buffer tree结构或者size

Post-Route: 如果有setup/hold,微调clock tree/buffer tree的size,routing channel和图层,实在不行,回到CTS 要看是top level还是block level的,这两个讲究是不太一样的。

如果仅仅针对sdc文件来说。

1> prcts文件如果没有做过timing borrowing,那么只需要在给过来的sdc文件中加入max transition以及max fanout

的contstraint就行了,这两个constraint最好加上。加的值根据经验值。

max transiton决定了你对critical path的预估程度。(因为tran是可以传递的,所以最好设置要和post route的值相差不远,如果你的floorplan不好,导致最后你某些地方的tran做的比较差,到0.4ns,好比,结果你Place 的时候,设为0.2,那么明显是不合理的。fanout比较特殊,对你芯片面积影响很大,timing影响也比较大,个人觉得工艺不同,最好fanout选择不要一样)

对于post-cts阶段,其实工具进化到现在,不管是s还是c,都可以用一些command来来设置uncertainty以及latency了,sdc可以和之前保持一致。但是可能有一些设置,事实上还是和timing相关的。最大的就是uncertainty 了,setup hold的。。。。事实上,这个值也是case by case的。该值决定了你的timing clousure的难易程度,需要自己把握。还有个比较重要的值,是clock tree的fanout ,该值对clock有很大的影响,当然也会影响density,需要把握。(此值和sdc无关)

如果上面一切顺利的话,到post-route阶段,假设之前的fanout和transiton都比较好,并且uncertainty也控制的比较好,density也还不错。还未爆掉。此时就没什么什么好改的了,之前对sdc的一些constraint都记录在了scenarios里面。直接routing就是了。

所以总结下来,sdc文件一共要改的就是transtion和fanout了,其他的各家工具的command皆有体现,记录在各自的scenario里面就好了。

preCTS和postCTs主要就是差个clock skew的问题

还有build clock的方法,这个很重要,

很多inter-clock path violation都是由于没有balance好造成的

所以你在postCTS后的timing有时候有很奇怪的结果,

postRoute主要是SI 影响,timing 会变差些,

可以做postroute opt来修复回来,减少下crosstalk的影响,

@@27、Continue from previous question, if adding one more DFT timing constraint, how do you handle the

multiple SDC? Using Encounter or ICC commands, please explain the detail what you do.

继续#26的问题,如果再给一个DFT时序约束,在后端流程中,你如何处理多个SDC?假设使用Encounter或者ICC,请详细介绍如何设置难度:3

答案:

简单地说就是使用MMMC。

在Encounter里面,要逐步定义,

create_library_set

create_op_cond

create_rc_corner

create_delay_corner

createPowerDomain

create_constraint_mode

create_analysis_view

set_default_view

set_timing_derate

对设计和SDC仔细分析后,也可以合并function SDC和几个DFT SDC,这个属于难度5的做法

@@28、T here are function SDC with multiple clock domain and scan SDC with an individual scan clock.

Assume those functional clock are un-balanced, how do you balance the scan clock?

假设一个设计的function SDC中有多个时钟,在scan mode下,另有一个单独的scan clock,如果functional的各个时钟树之间是不平衡的,请问如何平衡那个scan时钟?

难度:4

答案:

如果CTS支持multi-mode,直接使用即可。

如果不支持,或者MMCTS效果不理想,就是在CTS spec中,同时定义function 和DFT 的时钟,然后在scan clock 里面,把MUX设为leave pin,再让它们与function clok到MUX的延迟做动态平衡

@@29、There are function SDC, scan shift, scan capture, scan at-speed, mbist at-speed, and jtag SDC.

Considering CPU run time, you can't add all of them into MMMC. Then which SDC you will add in to MMMC setup, and hold?

在一个设计中有多个时序约束,象function,scan shift, scan capture, scan at-speed, mbist at-speed, 和jtag SDC,为了减少运行时间,不能把它们都放入MMMC中,你选择哪些放入MMMC的setup 中,哪些放入MMMC的hold中?

提示:选择的SDC要尽量的少,并且尽可能多地覆盖其他没有入选的SDC下的时序

难度:4

答案:

这个要从每个SDC的特点着手,个人经验,与设计有关,不敢保证使用与所有设计。

1) scan shift:速度很慢,不用太担心setup,但是hold很重要,一旦hold有问题,所有与scan有关的测试全泡

2) scan capture:也是慢速,但是会有很多hold出来,特别是在不同的function时钟之间

3) scan at-speed:高速,解决了它的setup,其他DFT的setup基本上就连带着解决了

4) mbist at-speed:高速,但是涉及的逻辑不多

5) jtag:慢速,很容易与function SDC合并

所有结论是

MMMC setup:function + scan at-speed

MMMC Hold: function(+jtag)+ scan shift + scan capture

@@30、Explain SDF and SPEF back annotation timing correlation issue,especially in different STA tools 请解释反标SDF和SPEF在时序分析时的差异,特别是用不同的STA工具检查timing时难度:3 答案:

spef是寄生参数描述文件,给出了路径上的寄生电阻电容等,具体的延时还需要sta工具进行计算。而sdf则是时序的描述文件,里面包含有cell delay以及wire delay。sta工具不需要再对延时进行计算。以我做过的项目为例,在soce中导出def文件到starrc,通过starrc提取出spef文件,导入Pt进行分析,得到时序反标文件sdf,再将此文件导入到vcs等仿真环境中做后仿真。使用SDF做时序分析,无论使用什么tools,其结果应该是一样的,没有差异使用SPEF时,因为工具需要把SPEF换算成SDF,这时会产生差异。所以建议使用一个你信得过的工具生成SDF,然后大家都使用这个SDF做STA和仿真

@@031、

There are 4 power supplies in the design. VDD1/2/3 are different voltage. VDD1 is always on, but VDD2 and VDD3 can be turn off and on. VDD2 to block B and block C don't switch at same time. Please fill in the blank which net needs to be added level shifter and/or isolation cell. If you think Level shifter/isolation cell should be added in netAB at B side, then write B; if you think it's not necessary, write X.

如图,一个设计中有4个电源,VDD1/2/3的电压各不相同,VDD1总是开着,其他会有开和关,并且到模块B的VDD2和到模块C的VDD2有各自分别的开关。请判断在连接这4个模块的8条net 上,哪些需要level shifter,哪些需要ioslation cell,把结果填入右边的表中。

填法如下:假如你认为需要在netAB上加个level shifter,加的位置在模块B里面,就在netAB的右边的第一列空格里写B。如果什么都不加,就写X 。难度:3

没做过low power设计,但是研读过low power methodology manual for soc这本书。我来说说我的理解,有不对之处请高手指教。

一、电平不同的模块之间即需要level shifter,故netAB/BA/AD/DA/CD/DC都需要level shifter。至于level shifter的位置是放在src端还是des端。一般来说H2L的由于只包含有des的power rail,所以肯定要放在des端。而L2H则包含有两种power rail。肯定需要跨电压域的电源线连接。考虑到output driver需要的电流一般要大于input driver需要的电流。所以也推荐放在des端。在本题中也未提到电平之间的相对大小。所以我都放在des端。

二、有power gated控制的模块,其输出都要加上isolation cell,故netBA/BC/CB/CD/DC/DA都需要isolation cell。其位置的摆放也有两种,一是摆放在ouput端,一是摆放在input端。前者一是可以节省所需要的isolation cell数量(考虑一个模块引脚的输出连到多个模块引脚的输入的情况),二是便于check。后者优点是isolation cell需要always-on的power。若放在output端,还需要引always-on的power rail过来。故此例中BA和DA的isolation cell都放在了A模块中,其它的则放在ouput端。

我的答案是:

netAB B X;netBA A A;netBC X B;netCB X C;net CD D C;net DC C D;net DA A A;net AD D X;

应该是―后者优点是isolation cell不需要always-on的power‖吧?

isolation cell理论上可以放在output端,但是考虑power-on rail的走线,isolation cell自身的功耗,一般还是放在input 端比较好。

你的答案也是正确的!

@@32、Continue from #31 question, there is isolation cell on netBA with isolate enable pin. When shutdown the block B, will you enable isolate pin first, or shutdown B first? What order it is during block B power-on?

接着上一题提问,在netBA上有一个isolation cell,isolation cell都会有一个isolate enable端,在模块B关断电源时,是先让isolate enable端on哪,还是先关模块B?反之,在开模块B的电源时,谁先谁后?难度:2

答案:

先isolate on,再power off,反之先power on,再isolate off

@@33、There are 1000 clocks in a design. You guess the constraint cross the clocks is incomplete, and want to have a list of clocks which has cross clock domain path. How do you find whether there is path between 2 clocks?

设计中有1000个clock,你怀疑跨时钟的时序约束有问题,想找出哪些clock之间有real path,请问如何找?难度:2

答案:

check_timing

report_timing -clock_from -clock_to 循环

@@34、What are various statistics available in IR-drop analysis reports?

IR-drop的分析报告里面都包含哪些内容?难度:2

答案:

至少包括

各种mode下的static和dynamic IR-srop report,其中drop的容许范围可以参考厂家的意见

function mode下的EM report和RJ report

@@35、With respect to clock gate, what are various issues you faced at various stages in the physical design flow?

在后端流程的每步中,如何处理门控时钟?难度:3

答案:

如果是用latch+and/or在组合成的clock gating cell,比较麻烦,以后估计不多见了,暫不讨论。TomPaul提到的问题都很让人头痛,特别是做CTS时,如何处理那些个latch的clk pin。Place时,latch和and/or cell一定要靠得很近。

一般使用ICG cell时,

place:使用clock gating aware placement选项

CTS:主要看工具的本领了,一般是希望在满足setup的前提下,ICG cell要尽量靠近clock root

route:除了clock net优先以外,不记得还有什么可做的了

@@36、What is SSO? How to calculate the SSO in pad ring design?

什么是SSO,设计PAD ring时,如何计算SSO?难度:3

答案:

sso ,即simultaneous switching ouputs,即允许同时切换的信号IO的数量。多个信号IO同时切换时,因更多电流流过pad ring,在pad电源IO的bonding wire及片外引线上的电感上,产生Ldi/dt的压降。也即ssn,同时切换噪声。主要是会引起地弹,即ground bounce。

避免sso有很多方法。如增加供给pad用的电源IO数量,采用double bonding或triple bonding,采用slew rate control的

IO,避免把pad电源IO放在corner上(corner处bonding wire引线最长,L最大),等。

主要还是采用增加pad用电源IO数量的办法,计算方法一般foundry会提供,一般是给每个信号PAD一个DF值(还要根据bonding wire电感值做出选择),把自己用的所有信号IO的DF值加在一起,能得出所需要的POWER PAD的数量。@@37、In building the timing constraints, do you need to constrain all IO ports? Can a single port have multi-clocked? How do you set delays for such ports? Can a clock port have multi-clock definition? How do you create clock for this port?

写时序约束时,是否需要对所有的IO端口加约束?一个信号端口是否可以被多个时钟约束?应该如何对这种端口设置delay?一个时钟端口是否可以定义多个时钟?应该如何定义这些时钟?难度:2 答案:

CLOCK ports 不需要加,其他都要

可以,set_input_delay -add_delay

可以,create_clock –add

@@38、What is purpose of lockup latch in scan chain? Does lockup latch always fix the problem of first question? Does lockup latch clk pin connect to the clock of predecessor flop or successor?

scan chain中插入lockup latch的目的是什么?是不是lockup latch总能达到那个目的?lockup latch 的clk端与前一个flop的clock相连,还是后一个flop的clock相连?

难度:3

答案:

一般scan 用的时钟树大部分是与function的共享,所以scan chain的前一段和后一段的clock insertion delay会不一样,因为scan shift速度很慢,不太用顾及setup,但是要确保hold。所以在前一个FF的clock insertion delay小,后一个大时,插入一个lockup latch,使信号多保持半个周期,以满足后一个FF的hold要求。它们的时序关系是前FF时钟延迟+1/2 scan 时钟周期>= 后FF时钟延迟+ 后FF hold要求当后FF时钟延迟太大时,lockup latch也解决不了hold违反的问题

按此分析,lockup latch的clk端是和前一个FF的时钟相连的。

@@39、How is scan DEF generated?

scan DEF是怎么生成的?难度:2

书上小抄的:

The scandef file is generated from Design Compiler as follows:

dc_shell-xg-t > insert_dft

dc_shell-xg-t > change_names -hierarchy -rule verilog

dc_shell-xg-t > write –format verilog –output –hierarchy xxx.v

dc_shell-xg-t > write_scan_def –output scandef_file

在第一次做完scan chain stitch后,让DFT tool输出一个scan def

@@40、What are pros/cons of using low Vt, high Vt cells?

使用low Vt 和high Vt cell的优缺点?

难度:1

答案:

lvt cell速度快,耗电高,静态电流大

hvt cell速度慢,静态电流小

这是timing与power的trade off

@@41、How do you reduce standby (leakage) power? How do you reduce dynamic power?

如何减少静态功耗?如何减少动态功耗?

难度:3

答案:

老陈认为,这是最邪恶的一种提问方法!貌似简单,其实覆盖范围很广。

leakage power + dynamic power 不就是total power 吗?

那么这个问题可以换一个说法:如何减少功耗?

这样可以从系统结构,算法,前端,一直说到后端,即可以罗列几个大的方向,也可以具体到每个细节,你也搞不清楚他想问的是那个方面。

反过来说,如果他有意刁难你,就可以用这种问法,反正你答不全,到时就说你水平不够!

我们就集中在后端的部分(加一小部分前端),而且是细节讨论

楼上几位说得都对,总结一下

静态功耗:非关键路径HVT cell 替换coarse grain,fine grain,power shutdown 减少decap_cell 散热降温

动态功耗:降压power island DVSF 非关键路径HVT cell 替换clock gating memory split signal gating transition time 约束减小高速信号的走线长度

降低静态功耗:

1、在非关键路径上用Hvt的cell替换Lvt的cell;

2、降低信号的翻转时间,即transition;

3、降低电源电压;

降低动态功耗:1、采用始终门控单元;2、降低电压,采用多阈值电压,动态电压缩放技术;3、减少decap_cell的数量;4、降低走线的长度;5、前端代码优化;

@@42、How do you design PAD ring?

如何设计PAD ring?

难度:3

(又是一道比较邪恶的题目)

大的流程是:

1)根据系统(其他芯片的)要求,芯片内部的floorplan,决定信号PAD的位置

2)计算出power PAD的个数,插入到信号PAD里面

3)加其他的PAD,比如IO filler,power cut,power on control,corner PAD,ESD等

细节可以包括:

1)如何计算core power PAD:估算core power,再加50%,算出电流,除以每个core power IO的最大电流,就是大致的PAD个数。插入到信号PAD ring后,还要再计算power EM,防止一根电源线上的电流过大。

2)如何计算IO power PAD:从信号IO的功耗算起,同时计算SSO,取2个结果里面较大的

3)在什么地方插入power cut:不同的电压core电压和不同的IO电压之间,power island之间,数字和模拟电源之间。4)power on control PAD,一段每个IO ring需要一个

5)ESD一般要加在每个不同的电源之间

@@43、In hierarchical design flow, explain block level pin placement flow? What are parameters to decide?

在hierarchical流程中,如何确定block的pin(位置,金属层)?难度:3

答案:

在top-down流程中位置:主要是看与该block相关的其它block(如ANALOG 等)的interface,一般相关的PIN/PORT 要比较近,同时也尽量不要使PIN被block内部的memory(一般放在block 的boundary处)等挡到金属层:也要看相关的其它block的PIN/PORT 所出的金属层,尽量用一致的,同时不用M7.M8等一般用来走power 的金属层,当然M1 也不用encounter(ICC也应该是同样的道理)用flatten的trial route来决定block pin的位置和金属层。

当然,你可以事先指定,也可以事后修改在bottom-up流程中,主要是人为的规定了

@@44、What does x-talk reports contain? How do you use those reports to improve the design?

分析X-talk后都输出哪些报告和结果?如何利用这些结果改善设计?

难度:3

答案:

X-talk的分析结果中,至少要包含X-talk glitch 和X-talk delay 的报告和数据,

可以把glitch报告读回到P&R tool里面,让tool自动解决这些问题,也可以手动,详细请参考每日一题(003)

X-talk delay就是incremental delay,反标回网表中以后,再做一次时序优化

@@45、Explain function and difference of Muxed FF (Multiplexed Flip Flop) /scan FF (with scan_in and scan_en input pins).

解释Muxed FF和scan FF的异同

难度:2

答案:

Scan FF 是Mux FF的子集。Scan DFF 从功能上讲,就是Mux+FF

但是一般2者不混用,因为在输入端的时序要求大不一样

想问的是scan FF的内部结构以及时序特点

@@46、In logic equivalence checking, how do you handle scan_en signal?

LEC中如何处理scan_en端?

难度:3

答案:

如果有scan chain reorder,disable scan_en

如果没有,enable scan_en

@@47、why optimize leakage power after timing closure? What's happen if doing it with setup violation?(在P&R中)为什么优化静态功耗要在时序收敛之后做?在有setup违反时做的话,会怎么样?难度:3

答案:

优化静态功耗主要是通过换HVT的CELL的方法来做吧。在有setup违反时做自然会使已经违反setup的路径变得更差更难收敛。这里应该先考虑满足timing,再尽可能降低power

@@48、Does a standard cell leakage power depend on its input patten?

标准单元的leakage功耗与其输入端的状态有关吗?不考虑input pin open的情况

难度:2

答案:

有影响的。根据衬底偏置效应,阈值电压与Vbs有关。对于nmos来说,一般B级电平固定接地。s级电平越高,阈值电压越小。相应漏电流越大。

@@49、If you have both IR drop and congestion how will you fix it?

如果设计中既有IR-drop的问题,又有congestion的问题,你如何解决?

难度:3

答案:

如果说的是同一块区域即有IR 又有congestion的话,把这块区域的cell密度降低一点就可以了吧?1) Chip utilization depends on ___.

a. Only on standard cells

b. Standard cells and macros

c. Only on macros

d. Standard cells macros and IO pads

?2) In Soft blockages ____ cells are placed.

a. Only sequential cells

b. No cells

c. Only Buffers and Inverters

d. Any cells

?3) Why we have to remove scan chains before placement?

a. Because scan chains are group of flip flop

b. It does not have timing critical path

c. It is series of flip flop connected in FIFO

d. None

?4) Delay between shortest path and longest path in the clock is called ____.

a. Useful skew

b. Local skew

c. Global skew

d. Slack

?5) Cross talk can be avoided by ___.

a. Decreasing the spacing between the metal layers

b. Shielding the nets

c. Using lower metal layers

d. Using long nets

?6) Prerouting means routing of _____.

a. Clock nets

b. Signal nets

c. IO nets

d. the net with special requirement

?7) Which of the following metal layer has Maximum resistance?

a. Metal1

b. Metal2

c. Metal3

d. Metal4

?8) What is the major goal of CTS?

a. Minimum IR Drop

b. Minimum EM

c. Minimum Skew

d. Minimum Slack

?9) Usually Hold is fixed ___.

a. Before Placement

b. After Placement

c. Before CTS

d. After CTS

?10) To achieve better timing ____ cells are placed in the critical path.

a. HVT

b. LVT

c. RVT

d. SVT

?11) Leakage power is inversely proportional to ___.

a. Frequency

b. Load Capacitance

c. Supply voltage

d. Threshold Voltage

?12) Regular filler cells are added ___.

a. Before Placement of std cells

b. After Placement of Std Cells

c. Before Floor planning

d. Before Detail Routing

?13) Search and Repair is used for ___.

a. Reducing IR Drop

b. Reducing DRC

c. Reducing EM violations

d. None

?14) Maximum current density of a metal is available in ___.

a. .lib

b. .v

c. .tf

d. .sdc

?15) More IR drop is due to ___.

a. Increase in metal width

b. Increase in metal length

c. Decrease in metal length

d. Lot of metal layers

?16) The minimum height and width a cell can occupy in the design is called as ___.

a. Unit Tile cell

b. Multi heighten cell

c. LVT cell

d. HVT cell

?17) CRPR stands for ___.

a. Cell Convergence Pessimism Removal

b. Cell Convergence Preset Removal

c. Clock Convergence Pessimism Removal

d. Clock Convergence Preset Removal

?18) In OCV timing check, for setup time, ___.

a. Max delay is used for launch path and Min delay for capture path

b. Min delay is used for launch path and Max delay for capture path

c. Both Max delay is used for launch and Capture path

d. Both Min delay is used for both Capture and Launch paths

实训周总结

竭诚为您提供优质文档/双击可除 实训周总结 篇一:实践周个人总结 实 践 周 个 人 总 结 姓名:王飞扬班级:人力101学号:20XX251101 个人总结 实践活动是课堂教学的补充,是学生综合素质形成和提高的教育方式和环节,开展丰富多彩的实践活动,让学生在活动的愉快感受中健康成长,在交往的良好体验中塑造自己,使之形成完整的科学的人生观,世界观,价值观。 学校在第十一周安排我们进行了实践活动。全班分为八个小组,七人一组,我是第七组。一周我们共进行了以下四

项活动: 一、模拟公务员面试 我们首先进行的是公务员面试活动,分为两场, 1、我们小组担任面试官: 我的角色:主考官。负责组织整个面试,控制整个面试进程,读考题,打分,最后宣布录取者,对每个面试者进行一一评价并做总体评价。 优点:可以很好的组织整个面试,能清楚准确的读考题,合理打分并能对每个面试者及整体进行合理恰当的评价。 缺点:没有特别好的控制整个面试进程,面试进程有点快时没有及时进行调整,使面试进程有点快。 2、我们小组担任面试者: 我的角色:面试者 优点:具有合适的礼仪和着装,可以条理清晰的回答问题,临场表现从容自信。 缺点:知识缺乏,有的问题回答的不够好。 二、模拟劳动仲裁 我们组和第五组结合组织这项活动,我们组是申诉方。 我的角色:证人。负责搜集整理案例的证据材料,写劳动仲裁案件证据目录及证人证词,并适时出庭证明。 优点:能够迅速并准确的搜集证据材料,能正确的填写证据目录,清楚简洁的写证人证词。

缺点:出庭证明时,有点紧张,致使开始时的证词有点语无伦次。 三、户外素质拓展 除了室内模拟,我们还进行了穿越电网、解手链、背坐起身、七人八足这四项户外活动。这几项活动是小组成员团结协力,共同完成的。 四、参观知名企业 学校联系并组织了我们一起去参观新飞电器冰箱制造厂。 总结:在这一周的实践中,我分别担任了主考官,面试者,证人,并搜集整理了相关资料,最后又在组员的协助下写了小组总结。通过实践,让我知道了自己的一些优点,我会一直保持;还让我看到了我的一些缺点,使我受益匪浅。我从中学到:在组织某些活动时,要根据实际情况及时调整策略,使活动更好进行;要充实自己;要多锻炼自己,调整心态,使自己登台不紧张,从容自信;要多参加户外活动,提高自己的身体素质;要多了解知名企业,为找工作做准备。 篇二:实训周总结 实训周总结 这周的实训有在校内的劳动服务还有在幼儿园的劳动 服务以及幼儿园的课程观摩。在这周的实训期间学习到了很多,同时,也发现了很多需要改进的地方。同学们在这次集

求职个人面试心得体会

机械求职个人面试心得体会及常见面试题 问题一:自我介绍 这个环节很是重要,太重要了,我认为,一个成功的自我介绍是成功面试的一半。记得在面试******时,我们六个人面试,前面两个自我介绍很烂。HR几乎都没怎么理。我和另外一个人条理很分明,面试官在之后的环节中表现了很强的兴趣。在自我介绍中首先要条理分明,最后按一定的顺序来:比如学习,校内活动,校外实习等等。在介绍时一定要把自己的优点和优势强烈的表现出来,一定要让HR明白你来公司之后能给公司带来什么。尽量埋下一些伏笔让HR在之后的环节中可以继续探讨,这样你就能掌握面试的主动权。我认为,这个环节要比做简历都重要,一定要好好准备。 问题二:家庭情况及有无女朋友 这个问题主要是考察你以后会不会长期在公司发展,所以很重要。比如说你南方人应聘北方的企业,人家肯定会担心对你培养几年后你会跳回南方,所以这些方面一定要主动的表明自己的立场,打消面试官的顾虑。一般都会问及家里都有什么人,这个时候独生子女就有了一点点劣势。像我有个哥哥,有个姐姐,我就回答:大姐在南方工作,二哥呆在我们家乡的一家县医院,家里都希望我趁着年轻的时候出去闯一闯,父母身体都很健康。另外在介绍父母时尽量介绍下父母的优点,比如母亲和蔼,父亲勤劳,一个良好的家庭环境,对你应聘时有帮助。 女朋友的问题,如果没有,你就说我喜欢**的妹子,比如面试重庆的单位,你就说我希望加盟公司之后能找一个重庆的妹子,。如果有,一定要慎重,回答的原则:不要让HR认为女朋友是影响你以后发展因素。 问题三:英语问题 很多人认为自己英语不好,所以对英语面很恐惧。其实不然,挑战越大,只要把握好,你的机遇就越大。英语面的问题一般都比较固定:介绍下自己、家庭、学校,最成功的事和最失败的经历,职业规划、你最喜欢的一门课等等。只要你有准备,那么劣势就会成为你的优势。这个环节完全可以把握好。 问题四:为什么选择我们公司或你对公司的了解 这个我认为可以分以下几个方面回答:企业,地域,个人。企业来讲,主要有公司的实力及发展前景,内部文化及培训体制、业内口碑,最好能与自己相结合,说明企业和你正匹配。地域的话如果离家近最好,离得远你就说你喜欢这座城市,比如重庆,你说我喜欢辣食,重庆人的生活方式我非常欣赏,另外重庆的妹子···;个人的话结合自己和企业的优势,说明你非常适合这个企业,如果能在企业发展,既是你的荣幸,你也相信能给企业的发展贡献你的才能和智慧。 问题五:你最成功失败的事 其实描述的内容不重要,重要的事事后你做了什么。尤其是失败的经历,失败后你一定要说明事后你好好总结到失败的原因是******然后我做了******在后来遇到同样的情况时,我*******最后获得了巨大的成功。有次群面,同样的问题两个人回答,一个就详细的描述自己的失败经历,另一个却主要说自己面对失败的反思及改进措施,HR的反应很明显不同,呵呵,技巧啊。 问题六:你能给我们公司带来什么或比较一下你和***同学 这两个问题核心都是你的优势,这个问题在自我介绍中便可有所体现,你可以从两方面回答:软件和硬件。硬件方面你成绩好,英语棒,专业知识扎实,软件方面你勤劳踏实,独立上进等等,要有条理,软件方面最好有实例证明,把你的核心竞争力体现出来。这是你推销自己的一个很好的机会,一定要好好把握

上海通用汽车面试 笔试经历集锦

上海通用汽车面试、笔试经历集锦 [通用汽车]上海通用汽车(SGM): 从筛简历+笔试+面试 第一步:简历筛选(基本上是网上) 基本要求,正规本科以上学历,大学英语四级以上水平(多数岗位要求流利的口语配合,不过只是要求而已,毕竟我们大部分面对的是中国人),两年以上工作经历,团队合作能力。 这一关我不知道他们是怎么筛选简历的,因为一般来说供需比达到200:1以上,最多也就选出5倍的人参见评估,那就是说至少有97.5%的简历会被无情的淘汰掉。那么怎么保证你的简历被保留下来呢?一些硬性的东西当然是对方关心的,比如英语水平高于六级,学校比较有名,工作单位较好而且工龄长等,但是我个人认为这不是最关键的。 最关键的一点是,对方招聘人员都有比较丰富的经验,他们会从你的简历看出你的真实水平和想法。所以,简历必须是绝对真实,不得有半点吹嘘。当然也要求写得有重点,有灵魂,有理想和自信。能对自己进行恰当评价,对未来有正确的定位,会让人对你有好感,增加面试机会。 第二步:人才评估(不涉及专业知识) 这一关刷掉的人居然非常多,不是每个人都想得通的。

通过简历筛选后,下一步就是通知大家去上海通用汽车(SGM)人才评估中心参加评估。根据不同的工作大类,有稍微不一样的评估手段和题目。 1.拼图(据说叫智商测试) 观察给出的一组图形的组成规律,从6个选项中找出一个使组图完整合理。题目数量60道,时间约15分钟(有3分钟要涂答题卡,是机读卡,铅笔涂答案)。有一个细节要注意:其实很多人都做不完的,但是当评估人员叫停时一定要停,不得继续答题。否则很可能会被扣分,至少印象不会好。他们的评估是各项测试加总分并综合考虑其它因素的,我们的每一个细节都是考查点。 2.性格测试 性格倾向测试,主要通过答题了解你的性格,是否适合该类工作。 40个题目,都是些选择题,无所谓对错,选择最接近自己的选项。比如:你在人多的时候很害羞A非常正确B正确C有点正确D有点不正确E不正确F很不正确请选择一个答案。所有的题目都是类似的,你只需如实回答。也有一个细节要注意:你必须如实回答。因为有些题目带有诱惑性,会让你感觉选择此答案会比选择彼答案好。但是后边可能还会有一道题目与此相似,让你两次选择不一样!!如:你是一个很外向的人A对极B是的C 有点外向D偏内向E内向F很内向这两个题的答案如果

关于面试的心得体会

关于面试的心得体会 篇一:模拟面试感想 在大家的共同努力下,我们组终于在笑声中完成了对模拟面试的录制。对于此次模拟面试的录制,我也感慨良多。虽然只是一次作业的完成,但是从大家的表现中就可以体会到对于面试这个我们每个人都必须经历的过程来看,我们还有很多不足,我们对于面试太过陌生与紧张。 我们组安排了5名面试官和4名面试者,我在此次活动中只担任了面试官一职,但从面试者的反应来看,我还是得到了以下几点收获: 1、面试主要就是考察两个方面:一方面就是考察个人基本素质,其中包括:语言表达能力、日常事务的处理能力、综合分析能力。另一方面就是考察一个人的心理素质。我们组中有一位平时学习成绩特别优异的同学,他第一个进入到我们的面试现场,当我们让他做一个简短的自我介绍时,他对于他平时十分熟悉的地质填图都说的结结巴巴,可以想象他是多么的紧张。他私底下一定准备了很久,但是一旦进入这个严肃紧张的环境就变得语无伦次。心理素质在面试中占相当大的比重,如果情绪波动,对面试发挥影响是非常大的。如果让自己情绪稳定呢,那么就要把该想的想好,把该做的做到位,做到胸有成竹。尽全力把自己的事做好了,那我们就没什么好遗憾了,所谓谋事在人,成事在天,面试是实力加运气,有实力了,运气的天秤就会倾向你这一边。

2、我觉得参加面试时,仪容仪表很重要毕竟第一印象于外表。在衣着方面,我觉得最好是穿正装,这既体现了对面试方的尊重,又能展现应试者阳光自信的精神风貌。即使不穿正装,也要做到衣着得体,整洁大方,不要穿得特别另类,因为这样很有可能就引起某位面试官的反感,留下较差的印象。 3、在面试官的问题差不多提问完毕时,要主动把握机会,引导对话内容向自己的优点方向,提出一些自己比较关心且有意义的问题,占据面试的主动性,从而使对方能够看到自己的优势,使自己更有机会在面试中脱颖而出。 4、面对着招聘者的刁难问题,需要应聘者有着清晰的思路去理解招聘者的用意,然后才能找到较好回答问题的点,让招聘者满意。然而在真正的面试中,招聘者表现得会更加盛气凌人,让应聘者更加有压力。模拟面试中的都是自己所认识的同学,应该表现得更加自如。此时大家面对的是朝夕相处的同学,他日当我们面对社会阅历丰富的形形色色的人时,我们更应该不卑不亢,从容面对。 5、大学对于每个人来说至关重要,它可以让我们更好地得到锻炼。大学生除了在学校认真上课之外,还要积极参加学校组织的社会实践活动,提高专业知识技能。个人觉得参加实践活动对于以后面试也是有帮助的,可以培养各个方面的能力。一个人如果想胜任工作,不但要增加自信心,提高与人的沟通能力,还要具备一定的专业知识和技能。因此我要珍惜现在的大学生活,积累我的实践经验,学好专业知识。

顶尖管理者必备的最佳面试问题集锦

顶尖管理者必备的最佳面试问题集锦(上) 些问题在很大程度上决定了你能否招到合适的人才。编者按:招聘可以说是所有创业公司创始人最关心、也最头疼的一个问题。招聘面试是一门艺术,在面试中提问哪些问题将在很大程度上决定你能否招到合适的人才。在这篇文章中,我们搜集整理了那些在各个领域内的6位顶尖管理者和科技大佬推荐的数十个最佳面试问题,在面试中问这些问题能帮你更快地鉴别出哪些才是适合公司的最佳候选人。 招聘应该是所有创业公司创始人最关心、也最头疼的一个问题了,究竟什么样人才是最适合公司的?为公司招到一个合适人才的难度堪比为自己找到一个完美的人生伴侣。在为公司招聘人才的过程中也蕴含着很大的风险。因为如果招人不当,将那些能力很差、不适合公司的人招进来,这将会对公司的成功造成灾难性影响。很多经验丰富的都认为,创始人应该将自己至少50%的时间都用在招聘上,这样才能将合适的人才招进公司。对创业公司而言,尽管招聘的时候会涉及到很多招聘结构和评估标准,但实际的招聘流程更像是一门艺术,而不是科学。因此在面试的过程中,选择提问哪些问题就变得至关重要了。因为你需要在非常有限的时间里完成对候选人的面试,因此你需要充分利用好面试中的每一分钟,确保能在有限的时间里对候选人有尽可能深入地了解。

那么究竟该如何做呢?在这篇文章中,我们搜集整理了那些在各个领域内的顶尖管理者和科技大佬推荐的数十个面试问题。这篇文章的目标主要是为了告诉你:为了能鉴别出哪些才是适合公司的最佳候选人,在面试中向候选人提问哪些问题最有用。希望这篇文章能够让你未来的招聘工作变得更容易、更高效、更成功。(一)你可以通过提问这些问题来判断求职者是否具备这7个最重要的特质 是的联合创始人兼,她在招聘方面积累了很多的经验和心得。他非常擅长辨别刚毕业的大学生都适合做哪方面的工作,并能够做到将合适的人放在他们所擅长的合适的岗位上。通过和很多没有太多工作经验的人一起共事,她掌握了一项非常实用的招聘技能:他对候选人需要具备哪些能力和特质才能成为顶尖员工有了非常清晰的认识。她发现候选人需要具备7个关键特质,一旦具备了这7大特质,他们将会在今后的工作中所向披靡、无往不胜。不管是在哪个部门、处在哪一个职业发展阶段,这些特质都是同样适用的。那么问题来了:该如何在面试中巧妙发现面试者是否具备这7个特质呢?提供了一些方法。特质1:有毅力创业公司最开始没有明确的分工而且它的节奏很快,它要求员工可以一当百用。同时,单调繁琐的日常工作是任何创业公司不可避免的一个现象,其团队成员必然是有极强的毅力和弹性。如何在面试中提问?

人力资源岗位实习生面试总结

人力资源岗位实习生面试总结 这次面试的竟然是人力资源岗位。 当时不记得什么时候投递的简历了,不过既然是面试,何乐而不为呢。 下午接到的电话通知,一个hr姐姐,声音很甜。 电话的主要内容就是预约面试时间,挺客气的。 然后又e-mail过来一封面试邀请函,还附带一个在线小测试,主要是关于行测题目的,很顺利的完成了。 第二天提早半个小时到达了面试地点,公司看起来挺不错的,有几个面试的人也在等待,期间和一个人聊了一下,那个人竟然是来入职的,于是,我赶紧向他请教了一些面试问题。 。 。 后面就是填了一个基本信息表,中英文混杂。 面试前有一个笔试测试,主要内容是中-英语邮件的翻译(各一篇),其次就是几道行测试题,很简单,时间也很充足,所以,不用怕。 另外我也觉得他只是想看一下你的英语水平,只要不至于太差,还是都可以过的。 后面就是单面了,一个hr姐姐把我喊进了一个会议室,然后开始翻阅我的简历,不用自我介绍,她直接就是问了我一些简单的信息,然后开始正式发问。

q:你的专业和人力资源相差甚远,为什么会想到来面试人力资源岗位?a:当时没想到会问这个问题,所以灵机一动说道,我当时只是填写的应聘实习生的岗位,具体也没说是人力资源。 q:哦,那这样啊,我们也有一些其他的岗位在招聘,比如说检测什么的a:检测岗位我可以啊(这个我表示相当不要脸)q:那你以前做过什么检测类的实验吗a:做过啊,然后把大学和读研期间的仪器检测经历都说了一下。 。 。 q:哦,那你的情况我也基本了解了,最后想问一下你的时间能保证每周4天以上吗?a:暑假期间是绝对可以的,但是开学以后就不能保证了,不过我能保证来3天每周(这个回答让我后悔死了)。 q:哦,那行,如果有下一步的面试通知我们会在一周内联系你的,你还有什么问题吗?a:我问了一下实习的内容以及地点然后就结束了(估计是检测类的岗位她不是很熟悉,所以就。 。 。 )总结:1、有些时候对于回答的内容作出适当的调整可以增加成功的机会,机会先抓住,具体怎么搞可以进去后再和他们商量2、没事的时候可以做一些行测类的题目,增加一下经验3、面试前尽量和一些其他求职者进行交流,很可能会有意想不到的收获。

面试经历总结与心得体会

面试经历总结与心得体会 随着时间临近毕业季,大四学长浓重的毕业氛围正不断地影响着我们,使我感受到作为大三学生的紧迫感,学长们面临的择业就业问题也即将轮到我去面对。而今天,2018.05.16,在大学生活动中心举行了一场大型的毕业生招聘会,这正是一次极好的极好的机会,可以让我提前了解各类公司的招聘岗位、应聘条件以及薪资待遇等,也能通过此次活动认识自身的不足,为将来做好准备。 经过一轮的观看后,我选择了两家公司进行面试。 第一家公司是与自身专业有关的海派药业,其中研发部门的研发员岗位非常适合我的专业。我了解到这类企业对化学系应届毕业生的需求很高,如果毕业生愿意从事这份工作,那么就业并不困难,只要足够谦虚、愿意学习,都能逐渐熟练这份工作。但我也了解到从事这类工作,学历越高,待遇越好,因此考研后求职也是一种好方法。 第二家公司我选择了与化学专业无关的热风时尚企业,该企业立足于精选时尚零售行业,招收零售服务人员。通过一段时间的交流,我了解到这份工作更需要的是交流能力以及服务意识,也需要细心并耐心地不断了解门店的商品销存情况和日常现金流。 招聘人员详细地讲述了对毕业生的培养计划,和明确的薪资福利待遇。销售岗位对专业无要求,这更需要自己在大学生活中不断地学习为人处事和交流沟通的方式方法。该企业的等级制度也非常明确,在这样的环境中,更能激发员工的工作动力。

总结与心得体会:整场招聘会中,我与各类行业企业都有过简短的交流,得到一些结论:大多数企业对大学生的专业素养要求并不高,大都通过培养来达到工作要求。当然,要是有突出的专业能力能让就业更为轻松满意;社会对大学生的需求量很大,不要眼高手低,就业并不困难;熟练掌握一门专业常用的软件,例如CAD,Origin 等,也能作为求职的重要条件。我也通过这次招聘会了解到自身存在的不足之处:交流沟通能力不足,对专业技能、软件等掌握不充分,以及自身知识素养仍不够丰富等。令人遗憾的是这次招聘会并没有现场面试环节,亲身体验是最好的认知方式,能让我形象具体地了解职场求职详情。 在此后,我会更多地关注各种招聘信息,注重培养个人专业素养和为人处事能力,全面发展自身能力,以便在未来可以有更多的求职机会。

关于实训总结通用版

关于实训总结通用版 通过这次实训,我收获了很多,一方面学习到了许多以前没学过的专业知识与知识的应用,另一方面还提高了自己动手做项目的能力。本次实训,是对我能力的进一步锻炼,也是一种考验。从中获得的诸多收获,也是很可贵的,是非常有意义的。 在实训中我学到了许多新的知识。是一个让我把书本上的理论知识运用于实践中的好机会,原来,学的时候感叹学的内容太难懂,现在想来,有些其实并不难,关键在于理解。 在这次实训中还锻炼了我其他方面的能力,提高了我的综合素质。首先,它锻炼了我做项目的能力,提高了独立思考问题、自己动手操作的能力,在工作的过程中,复习了以前学习过的知识,并掌握了一些应用知识的技巧等。其次,实训中的项目作业也使我更加有团队精神。 从那里,我学会了下面几点找工作的心态: 一、继续学习,不断提升理论涵养。 在信息时代,学习是不断地汲取新信息,获得事业进步的动力。作为一名青年学子更应该把学习作为保持工作积极性的重要途径。走

上工作岗位后,我会积极响应单位号召,结合工作实际,不断学习理论、业务知识和社会知识,用先进的理论武装头脑,用精良的业务知识提升能力,以广博的社会知识拓展视野。 二、努力实践,自觉进行角色转化。 只有将理论付诸于实践才能实现理论自身的价值,也只有将理 论付诸于实践才能使理论得以检验。同样,一个人的价值也是通过实践活动来实现的,也只有通过实践才能锻炼人的品质,彰显人的意志。必须在实际的工作和生活中潜心体会,并自觉的进行这种角色的转换。 三、提高工作积极性和主动性 实习,是开端也是结束。展现在自己面前的是一片任自己驰骋 的沃土,也分明感受到了沉甸甸的责任。在今后的工作和生活中,我将继续学习,深入实践,不断提升自我,努力创造业绩,继续创造更多的价值。 我认为大学生实习难,就业难,除非你有关系,能给你轻松找 到工作,否则就难逃市场选择的厄运。我在该公司实习总结了五个攻略,只能智勇双全,才能在这个社会中出人头地。

面试礼仪心得体会范文(精选3篇)

面试礼仪心得体会范文(精选3篇) 面试礼仪心得体会范文(精选3篇) 我们从一些事情上得到感悟后,可以将其记录在心得体会中,这样可以帮助我们分析出现问题的原因,从而找出解决问题的办法。那么要如何写呢?以下是的面试礼仪心得体会范文(精选3篇),希望对大家有所帮助。 提前到达面试地点,适应面试气氛。一旦和用人单位约好面试时间后,一定要提前5—10分钟到达面试地点,以表示求职者的诚意,给对方以信任感,同时也可调整自己的心态,作一些简单的仪表准备,以免仓促上阵,手忙脚乱。为了做到这一点,一定要牢记面试的时间地点,有条件的求职者最好能提前去一趟,以免因一时找不到地方或途中延误而迟到。如果迟到了,肯定会给招聘者留下不好的印象,甚至会丧失面试的机会。 进入面试场合时不要紧张。如门关着,应先敲门,得到允许后再进去。开关门动作要轻,以从容、自然为好。见面时要向招聘者主动打招呼问好致意,称呼应当得体。在用人单位没有请你坐下时,切勿急于落座。用人单位请你坐下时,应道声“谢谢”。坐下后保持良好体态,切忌大大咧咧,左顾右盼,满不在乎,以免引起反感。离

去时应询问“还有什么要问的吗”,得到允许后应微笑起立,道谢并说“再见”。 对用人单位的问题要逐一回答。对方给你介绍情况时,要认真聆听。为了表示你已听懂并感兴趣,可以在适当的时候点头或适当提问、答话。回答主试者的问题,口齿要清晰,声音要适度,答话要简练、完整。一般情况下不要打断用人单位的问话或抢问抢答,否则会给人急躁、鲁莽、不礼貌的印象。问话完毕,听不懂时可要求重复。当不能回答某一问题时,应如实告诉用人单位,含糊其辞和胡吹乱侃会导致面试失败。对重复的问题也要有耐心,不要表现出不耐烦。 在整个面试过程中,应保持举止文雅大方,谈吐谦虚谨慎,态度积极热情。如果用人单位有两位以上主试人时,回答谁的问题,你的目光就应注视谁,并应适时地环顾其他主试人以表示你对他们的尊重。谈话时,眼睛要适时地注意对方,不要东张西望,显得漫不经心,也不要眼皮低望,显得缺乏自信,激动地与用人单位争辩某个问题也是不明智的举动,冷静地保持不卑不亢的风度是有益的。有的用人单位专门提一些无理的问题试探你的反应,如果处理不好,容易乱了分寸,面试的效果显然不会理想。 求职的人,必须有心理准备,去会见可能是自己的未来老板。

大学生求职面试自我介绍模板集锦8篇

大学生求职面试自我介绍模板集锦8篇 我是**大学**系档案学专业的即将毕业的学生,四年的校园生活是我人生的 一大转折点。在校园生涯和社会实践生活中我不断的挑战自我、充实自己,为实 现人生的价值打下坚实的基础。 在校学习期间,本人系统掌握系统的档案学基础知识与文化知识,掌握现代 信息技术的基本技能,档案管理与信息管理的基本知识,受到有关理论、方法与 技能等方面的系统教育和训练。能成为在国家机关、企事业单位的档案机构、信 息部门从事信息服务、信息管理工作及研究工作的应用犁、复合型档案学高级专 门人才。 本人具有积极向上的生活态度和广泛的兴趣爱好,对工作责任心强、勤恳踏实,有较强的组织、宣传能力,注重团队合作精神和集体观念。路漫漫其修远兮,吾将上下而求之!如果一个人能够踏实做人做事,那么他的世界就是灿烂的。 我坚信这一点并不断完善自己。 我真诚希望能有幸加入贵公司,发挥自己的绵薄之力。与公司一起创业,一 起创造辉煌。 各位考官: 大家好!我叫xxx,是xx学校xx专业的学生,我在学校,积极参加各项活动,锻炼了自己的能力。 我能来到这里学习非常高兴,一直以来我都很看好xxx这个行业。随着xx 的展,它为xx世纪xxx。后来,我开始对xx产生兴趣,用心钻研,三年的大专 就是在不断的培养兴趣,不断的学习进步中度过的。 三年的大专生活有如过眼云烟,一去不返,唯一留下的就是我的知识和技能,现在的我将要走向我所热衷的岗位,面对当今激烈的人才竞争,我很清楚自己知 识有限,但我更清楚我有着不甘落后的精神和不断学习、不断提高的愿望。我拥 有自己年轻和执着的事业热情,我相信我会做的更好!我需要一个机会,一个展 示自己、锻炼自己的机会。再苦再累,我都愿意一试。 谢谢大家! 我来自一个粤北的小山村,从小在农村长大,直到高中毕业上大学才真正走 向这个色彩斑斓的世界。父母都是农民,小时候家里的经济状况不是很好,农村 特有的生存经历不仅使得我很早就懂得生活的艰辛,而且培养了我吃苦耐劳、老

办公室事务实训总结报告

办公室事务实训总结报告 为期两周的办公室事务管理实训了下帷幕,在这两周里我们进行了四个项目的模拟,从中我感受颇深,也学到了许多。让我印象最深刻的是模拟公司年会暨客户答谢会那一场。我们小组在紧张排练之下。通过不断努力,完成了我们所有选定节目的彩排。我们有过分歧、我们商量解决。节目改了又改,力求达到最佳效果。在最终的舞台呈现。我们小组流畅的走完了所有节目的流程。每个组员都尽到了最大的努力,为我们自己喝彩。当然,我们在这场中并不是最好,也是我们最大的遗憾吧。从其他小组的节目中,我们学到了很多,一些亮点是我们在探讨中么有想到的。我认为有些局限性,比较古板。所以导致了我们组并没有表现的很出色,但是相信下次我们会表现的更好。 除此之外。我们另外三个项目。分别是、应聘、商务会议、公司文化建设。三个场景的模拟。从中通过模拟信息工作。我们能够了解信息工作的基本程序,熟练掌握信息收集整理传递和利用的技能与方法。面试训练培养了我对人事工作的初步了解,积累面试经历、提高了我的口语表达能力。而模拟商务会议工作让我全面的了解秘书职业系统中最强的具体内容,还训练了处事应变和创新能力。最后一个项目更加贴合我的专业。学习行政人事培训工作的具体内容。熟练掌握演讲和培训技巧方法。 当然。每个任务都离不开组员的相互配合与帮助。每一次的合作动留下无数的感动瞬间。在全部的实训环节中,我们小组七个人始终心往一处看、拧成一股绳、劲往一处使,能够大胆的提出自己的想法相互交流心得,总会有一句话或是一个动作给了我们灵光乍现,然后使得表演更加默契。成功是我们全员的荣誉。还有一点涌现出来的是,大家都能挖掘自己的潜能,无论如何都突破自己。在挑选适合自己的角色的基础上能够融入更多的元素,给自己的展示注入活力,我认为我们组的每个人都很棒。 两周的时间并不长,,但我;牢牢记住了所学的一切,相信会对未来的工作有一定的帮助。

实训个人总结范文3篇

实训个人总结范文3篇 实训是学生对于计算机实际操作能力和提高巩固计算机应用水平的一项非常重要的教学过程,本文是小编为大家整理的实训个人的总结范文,仅供参考。 实训个人总结范文篇一 作为一名软件专业的大学生,不仅要学习专业知识,更需要掌握技能。我把本次为期三周的集中实训看作是理论与实践相结合的桥梁。我们以班级为主体,以小组为单位,互相合作,共同探讨。经过三周的专业实训,我从C 语言这门课程中发现了程序设计的乐趣,在学习C 语言的过程中也学到了许多计算机应用基础知识。这是个艰辛而漫长的过程,通过这次对小型宠物管理系统的设计,我受益匪浅。 首先我只是按照课题的要求,在商讨中细化出我们要注意的一些点,在和老师的交流分析中,明白我们该如何下手去处理我们手里无法下手的课题。经过近一个星期的交流与合作。终于将我们要实现的一些效果,以图表的形式展现出我们设计的大纲。 在随后星期里,编写了一个很简单的程序,以为每个功能都有应该没什么错,一到我输入计算机中来运行,错误好多,无法修改。后来查了些资料,有一个与这个课题差不多的例题,看后真是惊了,程序那么长,我写出的只有那么一点点,我考虑到的,用到的东西实在太少了。我只好按照这个例题的方法重新写程序输进去,运行一下,有几十个错误,那只有自己仔仔细细的进行修改。我发现例题中的某些功能和课题要求的功能不大一样,就必须进行大幅度修改,在修改的过程中,发现读懂程序的报错很重要。在实际操作过程中犯的一些错误还会有意外的收获,这学期所学的C 语言的理论知识得到巩固,也发现自己的不足之处,同时体会到C 语言具有的语句简洁,使用灵活,执行效率高等特点。编程时我体会到变量赋了值不能不使用该变量等许多微小的容易放错误的地方。为自己在今后的C 学习中积累了必要的实践基础,在此,我是十分感激得,也给我学好C 增加了动力。 我感触最深的有以下几点 一、实训是对每个同学综合能力的检验。要想做好任何事,除了自己平时要有一定的功底外,我们还需要一定的实践动手能力,操作能力。

面试时简短的自我介绍模板集锦6篇

面试时简短的自我介绍模板集锦6篇 面试时简短的自我介绍模板集锦6篇 当来到一个陌生环境中,我们往往需要进行适当的自我介绍,自我介绍是让陌生人彼此认识的好方法。现在你是否对自我介绍一筹莫展呢?下面是小编为大家收集的面试时简短的自我介绍7篇,欢迎大家借鉴与参考,希望对大家有所帮助。面试时简短的自我介绍篇1 我叫xx,热爱大自然和生物学,余爱好丰富,喜欢摄影和自行车旅行。我喜欢生物学工作,渴望做一名老师!因为我也曾因生物成绩一般寝食难安,奋起直追,从此知道如何取得好的好成绩,我可以将我的方法教给我的学生。我也有能力做好一个生物教师,我专业,语言表达能力好,教学方法灵活,把握学生的特点,给学生恰当的学习方法指导,能够根据学生的特点因材施教;熟悉中学生心理,擅于和学生进行交流互动,使其在学习上及心理上得到进步,对中小学教育有自己的一套教学方式及心得体会。实习时担任生物教师,有参与初二级生物学教学,本着以培养学生兴趣为主的教育主旨,我重视培优扶差,关注学生学习方式。对于同事,我多沟通,多请教,多看书,多实践,从此知道如何跟同事更好的相处!希望能把我对自己学科的热爱,带给所有学生面试时简短的自我介绍篇2 大家好!我是xx班的xx。我非常想非常想加入学生会。因为作为学生会干部,可以锻炼自己的能力,也可以上我的校园生活更加精彩。我的意向是生活部,不过也服从调配。“学生会”在我心中是个神圣的名词,她连接学校与学生,是一个学生自己管理自己的组织。向上,她反映学生们的思想状况和成长历程;向下,她传达学校的规章制度和管理意向;向内,她组织同学参加各种有益身心健康的文体活动;向外,她联系校际组织参加社会公益活动。桥梁和纽带的作用是学生会存在的基础;自我锻炼,提升自我素质的意义是学生会不断发展的动力源泉。所以,我向往校学生会组织。能够加入学生会,成为其中一名成员是我一直以来的心愿。我在初中阶段就有了丰富的工作经验,曾担任过纪律委员,副班

人力资源管理实训总结报告

人力资源管理实训总结报告 实训前言 这两周是我们的人力资源管理综合训练的专周实训,通过实训,让我们巩固有关人力资源在各个板块的知识面,使得我们在人力资源管理上的工作能力上得到提高。例如学习并熟悉了具体的招聘与录用流程,并掌握了相关测试方法。并学会制作填写在招聘与录用工作中所需表格。根据公司的情况制作绩效考核量表和具体的方案,员工薪酬方案以及员工离职的相关手续,也就是说从员工投简历的那一刻开始到员工离开公司我们都做了详细的工作。这些工作都是围绕人力资源的人力资源规划、招聘管理、培训开发、绩效管理、薪酬管理以及员工关系六大模块来进行。通过这次实习让我了解了更多有关人力资源管理方面的知识,培养了分析问题与解决问题的能力、沟通与协调能力。 实训目的 这次实训通过实践教学环节,巩固和拓展了同学们知识面,使我们在专业技术应用能力上达到培养目标的基本要求,在招聘与录用工作能力上得到提高。也让我们具体掌握整个人力资源管理流程,掌握多种甄选测试方法。并学会制作在招聘与录用工作中所需表格。 招聘与录用模拟训练具有很强的实用性,能够更好地培

养同学们的以后再在工作中的实际运用能力。通过为期两周的实训,能让同学们深入掌握人力资源管理中的理论知识和一些实际中好的技巧,以达到同学们适应以后我们步入职场后如何去面对自己面试过程中的问题,更有助于丰富我们从事人力资源管理方面工作的经验。 实训内容 这次的实训共进行了十天,第一天老师布置了整个实训过程的计划与安排,这天的任务是招聘计划的制定,我们先进行了小组分组,然后自己小组组建一个虚拟公司,包括公司名称、企业概况、组织结构图、人力资源部工作人员等内容。还要填写相应的表格,大家马上进行任务的分工,各自去寻找各自的资料。我的任务是其中制作招聘模块,包括人员需求分析,一份结构化面试提纲与评估表,还要填写面试人员新员工的录用申请表,试用考核调查表等等。我在查找相关资料,第二天到第三天主要是对之前信息的补充填写编制,完成相应内容,我们小组进行了相应的讨论,根据图书馆以及网络书本上的知识信息编制了市场营销部经理的结构化面试提纲,以及面试评估表的整制。填写新员工相应表大家相互谈论沟通。左后我完成了小组给予我的任务。第四天到第八天主要是对招聘的相关材料的综合完善及修订补充。大家综合起来做的资料进行讨论与情景模拟。第四天是老师对我们这周做的整个招聘计划模拟情景测试与考核,各

招聘与录用实训总结报告

招聘与录用实训总结报 告 文件编码(008-TTIG-UTITD-GKBTT-PUUTI-WYTUI-8256)

招聘与录用实训总结报告 伴随着我们的讨论声、争吵声、欢笑声,我们为期几周的招聘实验课终于结束了,通过此次实验课,我学到了很多。明白了一些道理。我明白了团队合作的重要性,我明白了要学会理解他人,我明白了做人要有自信心,更明白了人力资源管理招聘与录用更深层次的含义。 筒单地说,招聘工作的目标,就是如何成功地选拔和录用到企业所需的人才,实现所招的人员与待聘岗位的有效匹配。一次成功的招聘过程,简单地说,就是组织找到了想要的员工,个人则找到了适合的单位,从而达至人与事两者的匹配过程。 我在此次实验课分析了很多,包括人力资源配置分析涉及人与事的关系、人自身的各方面条件和企业组织机制及行业现实等要素。从而形成五个方面的配置状况分析 首先我明白了招聘的意义主要有以下几点: 1、招聘工作在企业的人力资源管理中处于首要地位; 2、招聘人才的结果影响企业今后的发展; 3、招聘工作是一项树立企业形象的对外公关活动; 4、招聘工作的质量将影响企业人员的稳定性; 5、招聘工作直接影响人力资源管理的费用。 实训是一种实践,是理论联系实际,应用和巩固所学专业知识的一项重要环节,是培养我们能力和技能的一个重要手段。通过实训,我们可以更加熟悉关于招聘与录用的具体操作流程,增强我们的感性认识,并可从中进一步了解、巩固与深化已经学过的理论和方法,从而提高我们发现问题、分析问题和提高问题的能力。在实训过程中我更加了解了关于招聘的方式的选择,可以是内部招聘也可以是外部招聘,招聘的途径主要有报子招聘、网络招聘、校园招聘、人才市场招聘。也明白了怎样去撰写招聘广告。且在具体实训中了解到了,招聘人员需要制作的一

面试心得体会3篇

面试心得体会3篇 关于《面试心得体会3篇》,是我们特意为大家整理的,希望对大家有所帮助。 近年来,竞考公务员一年比一年热。面试是公务员考试的关键环节之一。有的考试,面试分占一半。无论如何,过面试这一关至关重要。那么该如何“修炼”,才能取得公务员面试这一“真经”呢?我通过参加此次考试,发现了公务员面试准备前后不比其它面试,个中滋味别具一格,在此与大家分享:未雨绸缪,才能有备无患 通过笔试,面试的准备不可少,只有多多益善。未雨绸缪,才能有备无患。考生在得知进入面试名单后,应该购买有关面试的书籍来阅读学习。书不在多,一本则行,当然要选择质量高的。也可以下载一款面试软件。最好是那种包含了面试教材、历年真题、模拟试题以及考生经验的软件,十分受用。相信思想交流所收获的是双倍的思想,并不会有所损失。 一、要具备良好的心态 有句话说的好:“要相信相信的力量。”我们发现在我们辅导班平时的训练中,有些同学答题不是很好,但考试的时候却能成功上岸。他们分享经验的时候,总结了重要的一条,就是心态放平稳,相信自己,永远不要放弃,不管自己是第一名还是最后一名。更要相信付出就有回报,我们一定可以通过面试,就算这次不成功,那下次一定可以,下次不可以,下下次一定能行。只有心态放平了,才不会太计较得失,才不会在面试的时候给自己太大的压力。因为压力太大,自己就会紧张,紧张很可能导致失败。所以上考场之前,一定要有一个平稳的心态:得之坦然,失之淡然,顺其自然。上考场时更要告诉自己,受过训练和没受过训练的学生之间是有差别的,自己系统学习和练习了那么久,已经具备了超越别人的资本。就算碰到难题,也不能垂头丧气,因为要难大家一起难,这个时候要做的就是迅速判断题型,回忆老师讲过的这种题型的思路,然后总结语言,展示给考官。虽然可能我们答的不是很完美,但比起其他考生,我们仍然能拿高分。所以,良好的心态是面试致胜的法宝。 二、突破自己,克服紧张 很多同学上考场后,因为对考试场景的不熟悉,平时也很少在众人面前发言,所以当看到对面一排考官的时候,瞬间心里无比紧张,答题的时候紧张到拿着笔的手是抖的,声音是颤的。这样肯定会影响自己最后的面试成绩。所以我们必须在平时就要多进行脱敏训练,克服自己的紧张。一方面在辅导班学习的时候,要多在课堂上主动回答问题,要尽可能在全班同学面前去发言,如自我介绍、讲述自己的经历、唱歌等;在公众场合,只要有表现机会,就要去表现自己、挑战自己,如可以尝试在人多的地方放声歌唱如餐厅、公园、广场等。另一方面,平时训练的时候可以进行全真模拟,模拟我们考试时的场景,把每一次训练都当成考试来练习。只要经过多次这样的脱敏训练,我们的紧张感就会消失,因为紧张最主要就是源于对陌生环境的不熟悉。 三、善于总结,答题个性化 参加公务员面试的很多同学都进行过相关的理论学习,有通过看书学习的,有通过参加辅导班学习的,但是大家都存在一个共同的问题,就是模式化痕迹较重,而我们的考官又反映模式化痕迹较重的一般得不了高分。那么如何克服模式化呢?这就需要我们在学习中要善于总结,答题个性化。我们要学会把书本上的或者老师教的知识,变成自己的东西。用更形象的话来说,老师教授理论是让考生从“手中无剑”到“手中有剑”,反复的全真模拟则是让考生从“手中有剑”到“手中无剑”,而反复的总结则是让考生从“手中有剑”到“手中无剑,心中有剑”。要答出个性化特点就要把有形之“剑”变成无形之“剑”。总之,面试没有标准答案,只

大学生面试经验心得大全

大学生面试经验心得大全 _面试心得体会范文大学生如何顺利通过公司的面试?有什么面试经验是不可不知的。下面小编就一一告诉大家。 面试准备篇1、如何准备面试: 笔试能进去的都是高手,但是你自己面试不准备,你知道你就能回答的上来么?很多考生都把自己的面试资料带着,我环顾了一下,都是熟面孔,书店网上买的不就是那么几本么,你能跳出圈去?一样的材料会出不同的效果,一个原因是个人语言能力的基础有高低,心里素质也不一样,这些我们都没办法左右,唯一能做的就是好好准备。我个人的经验就是说!我让老爸帮我,扮演考官,我正襟危坐在他对面,他说完了真题,我直接回答,完全按照面试的场景模拟,既锻炼你自己的听题能力,也锻炼你自己在短时间内集中精神回答问题的能力,这点真的特别有效。开始的时候一道题都不会说,嗯嗯嗯呃,不知道怎么答,但是经过这个模拟情景你集中精神了,再看答案也就自然知道模式是什么,其实大家懂得,模式不就是,问题是什么?(原因)怎么改正?(措施)总结等,还有其他的套路,大家那么难的行测都考了,这个不难总结吧!我个人准备了一周,不是天天都准备,但是自己感觉进步特别明显,老爸也说我答得越来越好了。 2、考试如何脱颖而出。

这是个仁者见仁智者见智的话题,我就说个人感受,总想着被萝卜的你就别看了,总想着自己没戏的也别看了。当时看见大家都拿着和我复习的书差不多的材料时,我就在想,资料都是共享的,谁也不缺,如果都按照答案答题,不就千人一面了吗?在之前练习的时候,我就出现了很多和书上答案不一样的时候,这个时候我就会分析,我的答案好还是书上的答案好,它的优点是什么,我的优点是什么。其实我最担心的回答问题的思路问题,有些问题对于咱们来讲,真不知道怎么说,这么说方向对不对,这是我主要考虑的,具体细节不在参考范围之内。比如说组织类的题,你答过几道就知道模式都一样的,所以这时候答案的可行性就很重要,书上总说,答案不能空,不能大,那么什么叫做空、大?答案标准很好办,你就听模拟考官的那个人,你的答案可行么。他说可行就好了。另外就是谈自己的切身感受,大家都知道小说比技术书好看吧,干巴巴的答案谁都会说,你要有自己的感想,有自己的思考。比如那道宣传残疾人出行难的题目,我就说个人对残疾人出行难的认识,然后我想到了他们的公民权和我们的一样平等,再从法律等去保障,等等。都是当时在考场上临时想出来的,没练过,但是你想到了,逻辑就到了,自己圆自己的话,圆满了就行。结构化面谈答案都是开放式的,没有统一标准的。

人力资源实训报告

人力资源实训报告 下面是出guo为大家的人力资源实习报告,欢迎阅读!更多实习报告请进入出guo查看。 一、实训目的: 通过这次人力资源管理模拟实训,让我们掌握职务说明书格式、编制应聘登记表、制定招聘程序、编写招聘广告、编制面试评估表、制定招聘计划书等人力资源管理的一些相关理论知识,弄清楚其中的关系连接。让我们了解人力资源管理环境,人力资源管理主要包括企业人力资源规划的制定、员工的招募与选拔、培训与开发、绩效管理、薪酬管理、员工流动管理等,也就是企业运用现代管理办法,对人力资源的获取、开发、保持和利用等方面进行的计划、组织、指挥、控制和协调等一系列,最终达到实现企业发展目标的一种管理。 二、实训时间: 20xx年x月x日—20xx年x月x日 三、实训地点: xx公司 四、前期准备: 1、全班同学自由分组,最终以x人为一小组 2、各小组做好实训前的相关资料准备工作,如撰写好职务说明书、编制应聘登记表、制定招聘程序、编写招聘广告、编制面试评估表、制定招聘计划书等资料 3、老师点评各小组准备的资料,然后进行相应修改

五、实训内容: 我小组拟定的公司名称为xxxx公司,我公司是一家致力于xxxxx的公司,拥有资深专业的xxx人员五十多人。根据职务说明书拟定招聘综合服务中心主任一名,其工作性质是服务、协调、落实,学历要求本科、大专且从事相关工作x年以上,个人能力要求形象气质佳、具有很强的亲和力、学习能力、表达能力,工作认真,责任心强、组织能力、沟通能力、本职工作的计划与执行能力。x日x时在xx全班同学在xx老师的带领下进行了一场现场模拟招聘活动。此次模拟招聘分两轮进行,首先是一对一,然后是多对一。首先面试组的同学进入坐席,接着应聘组同学入场双方进行招聘甄选活动,这一轮进行的是一对一的面试及一个面试者对一个应聘者,然后双方交换,由应聘者担当面试者,面试者担当应聘者双方进行招聘甄选;第二轮招聘甄选是多对一的形式及多个面试者对一个应聘者,其他的跟上一轮相同。经过几个小时的招聘甄选,大家对招聘甄选有了一定的认识并且也现场体验了一次。在整个模拟招聘活动中,面试者准备好求职登记表在应聘者填写好后进行回收、、分类,然后进入下一轮的帅选、复试,直到最后企事业单位招聘到合适的人才为止。 六、心得体会: 经过一段时间的前期准备及现场模拟招聘使我对人力资源中的人员招聘与选拔又有了更深入的了解。在这个过程中有老师的指导、小组成员的相互配合协作,在老师的指导下面对不足大家积极改正、勇于尝试,这也是我们理论与实际相结合的一个良好典范,也充分体

相关文档