文档库 最新最全的文档下载
当前位置:文档库 › 设计译码器和数据选择器

设计译码器和数据选择器

设计译码器和数据选择器
设计译码器和数据选择器

设计译码器和数据选择器

一、实验目的:

1.掌握译码器电路的功能、特点及其测试方法。

2.掌握译码器的级联方法及测试方法。

3.掌握四选一数据选择器的逻辑功能及测试方法。

4.掌握数据选择器的级联方法及测试方法。

5.掌握3线—8线译码器的应用方法及测试。

二、实验仪器、设备、元器件:

1.数字逻辑电路实验仪 1台

2.双2-4译码器74LS139芯片 1片

3.双四选一数据选择器714LS253芯片 1片

4.六反相器74LS04芯片 1片

5.四2输入或门74LS32 1片

6.3-8译码器74LS138芯片 1片

7.四2输入与非门74LS32芯片1片

8.示波器或万用表

9.导线若干

三、预习要求:

1.预习译码器和数据选择器的基本原理及功能;

2.熟悉实验用芯片的引脚排布和功能;

四、实验内容和步骤:

1. 译码器功能测试:

74LS139双2线—4线译码器如图7.1所示。图7.1(a)为原理图,图7.1(b)为实验测试连线图。输入端D0、D1接逻辑开关A、B,输出Y0~ Y3接电平指示器。改变输入信号D0、D1的状态,观察输出,写出Y0~ Y3的数值(表7.1)及其表达式。

图7.1

表7.1 功能表

Y3 = ___________ Y2 = ______________ Y1 = ____________ Y0 =

____________ 2. 译码器的级联应用:

用双2线—4线译码器74LS139组成的3线—8线译码器电路如图7.2所示,按图连线。输入端

D0~ D2接逻辑开关0、1、2,输出Y0~ Y7接电平指示器。改变输入信号D0~ D2的状态,观察输出,写出Y0~ Y7的数值(表7.2)及其表达式。

图7.2

表7.2

Y7 =_____________ Y6 =________________ Y5 =_____________ Y4 = _______________ Y3 =_____________ Y2 = _______________ Y1 =_____________ Y0 = _______________

3. 数据选择器功能测试:

将双四选一数据选择器74253按图7.3所示连线,选择74253,按F1键打开74253器件真值表,掌握该器件的各管脚功能及使用方法。信号输入端D 、C 、B 、A 分别接逻辑开关3、2、1、0,选通端A1、A0接逻辑开关B 、A 。在D 、C 、B 、A 状态确定的条件下,改变选通端A1A0的状态,观察输出Y ,并填写实验结果表7.3。

74LS139

图7.3

表7.3 功能表

4. 数据选择器级联应用:

双四选一多路数据选择器74LS253接成的八选一数据选择器电路如图7.4所示,按测试图连线,选通输入A0、A1、A2信号分别接逻辑开关A、B、C,D0-D7分别对应接逻辑开关0-7,输出Y接电平指示器。改变A2A1A0和输入D0~D7的状态(自行设计),观察输出Y 的状态,并把实验结果填表7.4,说明电路功能。

图7.4(a)原理图图7.4(b)测试图

表7.4

逻辑表达式

5. 3线--8线译码器的应用:

用一片3线—8线译码器74LS138构成一位全减器电路。全减器真值如表7.5所示,画出电路连线图,并检验其功能。

Di= _______________________ Ci= ______________________________

EDA设计38译码器

E D A设计38译码器-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

班级:通信13-3班 姓名:王亚飞 学号: 18 指导教师: 成绩: 电子与信息工程学院 信息与通信工程系

摘要 EDA技术是以微电子技术为物理层面,现代电子设计技术为灵魂,计算机软件技术为手段,最终形成集成电子系统或专用集成电路ASIC为目的的一门新兴技术。而VHDL语言是硬件描述语言之一,其广泛应用性和结构的完整性使其成为硬件描述语言的代表。随着社会经济和科技的发展,越来越多的电子产品涌如我们的日常生活当中,在日常生活中译码器起着不可忽视的作用。本设计就是运用VHDL语言设计的3-8译码器。3-8译码器电路的输入变量有三个即D0,D1,D2,输出变量有八个Y0-Y7,对输入变量D0,D1,D2译码,就能确定输出端Y0-Y7的输出端变为有效(低电平),从而达到译码目的。 关键词:EDA;3-8译码器

1实验目的 1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。 2、初步掌握VHDL语言的常用语句。 3、掌握VHDL语言的基本语句及文本输入的EDA设计方法。 2实验背景 VHDL的简介 VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。但是,由于它在一定程度上满足了当时的设计需求,于是他在1987年成为A I/IEEE的标准(IEEE STD 1076-1987)。1993年更进一步修订,变得更加完备,成为A I/IEEE的A I/IEEE STD 1076-1993标准。目前,大多数的CAD厂商出品的EDA软件都兼容了这种标准。VHDL 的英文全写是:VHSIC(Very High eed Integrated Circuit) Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。 VHDL语言的特点 VHDL是一种用普通文本形式设计数字系统的硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口,可以在任何文字处理软件环境中编辑。除了含有许多具有硬件特征的语句外,其形式、描述风格及语法十分类似于计算机高级语言。VHDL程序将一项工程设计项目(或称设计实体)分成描述外部端口信号的可视部分和描述端口信号之间逻辑关系的内部不可视部分,这种将设计项目分成内、外两个部分的概念是硬件描述语言(VHDL)的基本特征。

七段数码显示译码器设计

七段数码显示译码器设计 实验目的: 学习7段数码显示译码器设计,学习VHD啲多层次设计方法。 二、实验原理: 七段数码管由8个(a,b,c,d,e,f,g,dp )按照一定位置排列的发光二极管构成, 通常采取共阴极或者共阳极的设计,将8个二极管的同一极接在一起,通过分别控制另外的8个电极的电平,使二极管导通(发光)或截止(不发光)。 七段数码显示译码器的功能就是根据需要显示的字符,输出能够控制七段数 码管显示出该字符的编码。 三、实验内容: 1)用VHDL设计7段数码管显示译码电路,并在VHDL苗述的测试平台下对译码器进行功能仿真,给出仿真的波形。 CNT46 DECL7S A[.iu . 0] LED??[4B . ay rstG ObiitCl 程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY SMG IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END; ARCHITECTURE ONE OF SMG IS BEGIN PROCESS(A) BEGIN

CASE A IS WHEN"0000"=>LED7S<="0111111"; WHEN"0001"=>LED7S<="0000110"; WHEN"0010"=>LED7S<="1011011"; WHEN"0011"=>LED7S<="1001111"; WHEN"0100"=>LED7S<="1100110"; WHEN"0101"=>LED7S<="1101101"; WHEN"0110"=>LED7S<="1111101"; WHEN"0111"=>LED7S<="0000111"; WHEN"1000"=>LED7S<="1111111"; WHEN"1001"=>LED7S<="1101111"; WHEN"1010"=>LED7S<="1110111"; WHEN"1011"=>LED7S<="1111100"; WHEN"1100"=>LED7S<="0111001"; WHEN"1101"=>LED7S<="1011110"; WHEN"1110"=>LED7S<="1111001"; WHEN"1111"=>LED7S<="1110001"; WHEN OTHERS=>NULL; END CASE; 仿真波形:

实验三 数据选择器及其应用

实验三数据选择器及其应用 一、实验目的 1.掌握数据选择器的逻辑功能和使用方法。 2.学习用数据选择器构成组合逻辑电路的方法。 二、实验原理 数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的功能相当于一个多个输入的单刀多掷开关,其示意图如下: 图9-1 4选1数据选择器示意图 图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中一路数据送至输出端Q。 1.八选一数据选择器74LS151 74LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可选择I0~I78个数据源,具有两个互补输入端,同相输出端Z和反相输出端Z。其引脚图和功能表分别如下: 2.双四选一数据选择器74LS153

所谓双四选一数据选择器就是在一块集成芯片上有两个完全独立的4选1数据选择器,每个数据选择器有4个数据输入端I0~I3,2个地址输入端S0、S1,1个使能控制端E和一 个输出端Z,它们的功能表如表9-2,引脚逻辑图如图9-3所示。 图9-3 74LS153引脚逻辑图表9-2 74LS153的真值表 其中,EA、EB(1、15脚)分别为A路和B路的选通信号,I0、I1、I2、I3为四个 数据输入端,ZA(7脚)、ZB(9脚)分别为两路的输出端。S0(14脚)、S1(2脚)为地址信号,8脚为GND,16脚为VCC。 3.用74LS151组成16选1数据选择器 用低三位A2A1A0作每片74LS151的片内地址码, 用高位A3作两片74LS151的片选信号。当A3=0时,选中74LS151(1)工作, 74LS151(2)禁止;当A3=1时,选中74LS151(2)工作, 74LS151(1)禁止,如下图所示。 图9-4用74LS151组成16选1数据选择器

译码器和数据选择器

实验四译码器及其应用 一、实验目的 1.掌握中规模集成译码器的逻辑功能和使用方法 2.熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器可分为通用译码器和显示译码器两类。前者又分为变量译码器和代码变换译码器。 1.变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 以3线-8线译码器74LS138为例进行分析,图4-1(a)、(b)分别为其 逻辑图及引脚排列。其中A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S 为使能端。 (a) (b) 图4-1 3-8线译码器74LS138逻辑图及引脚排列 表4-1为74LS138功能表 当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其 它所有输出端均无信号(全为1)输出。当S1=0,2S+3S=X时,或S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。 表4-1

二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图4-2所示。若在S 1输入端输入数据信息,2S =3S =0,地址码所对应的输出是S 1数据信息的反码;若从2S 端输入数据信息,令S1=1、3S =0,地址码所对应的输出就是2S 端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。 二进制译码器还能方便地实现逻辑函数,如图4-3所示,实现的逻辑函数是 Z =C B A C B A C B A +++ABC 图4-2 作数据分配器 图4-3 实现逻辑函数

7段数码显示译码器设计

EDA 技术实验报告 实验项目名称:7段数码显示译码器设计 实验日期:2012年6月4日实验成绩: 实验评定标准: 一、实验目的 学习7段数码显示译码器设计;学习VHDL的CASE语句应用及多层次设计方法。 二、实验器材 电脑一台、GW48 EDA/SOPC试验箱。 三、实验内容(实验过程) 实验内容1:说明程序中各语句的含义,以及该程序的整体功能。在quartusII 上对该程序进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形。步骤:1)打开软件,选择菜单file—>new,在弹出的new对话框中选择Device Design Files 的VHDL File项,按OK键后进入VHDL文本编辑方式。 根据给出的7段数码显示译码器的参考程序。 2)将其另存为与实体名一致的文件,以确保后续的编译能够正常进行。然后在将该文件置顶,并进行编译。 3)编译完成后,对其进行仿真,建立波形文件。再次进行编译(否则进行仿真的时候会报错),编译完成后即可进行仿真。分析得到的结果。

实验内容2:引脚锁定及硬件测试。选用GW48 系统的实验电路模式6 用数码8 显示译码输出(P1046-PI040),键8、键7、键6 和键5 四位控制输入,硬件验证译码器的工作性能。 实验内容3:用元件例化语句,按下图的方式连接成顶层设计电路(用VHDL 表述),图中CNT4B 是一个4 为二进制加法器(也可利用实验五的加法计数器的程序,在实验中则利用后者),模块DECL7S 即该实验实体元件重复以上实验过程。图中temp 是4 位总线,led 是7 位总线。对于引脚和实验用模式6 用数码8 显示译码输出用键3 作为时钟输入或直接接时钟信号clock0。 四、实验程序 7端数码显示译码器的程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY DECL7S IS PORT ( A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); LED7S:OUT STD_LOGIC_VECTOR(6DOWNTO 0)); END DECL7S; ARCHITECTURE one OF DECL7S IS BEGIN PROCESS(A) BEGIN CASE A IS WHEN"0000"=>LED7S<="0111111"; WHEN"0001"=>LED7S<="0000110"; WHEN"0010"=>LED7S<="1011011"; WHEN"0011"=>LED7S<="1001111"; WHEN"0100"=>LED7S<="1100110"; WHEN"0101"=>LED7S<="1101101"; WHEN"0110"=>LED7S<="1111101"; WHEN"0111"=>LED7S<="0000111"; WHEN"1000"=>LED7S<="1111111"; WHEN"1001"=>LED7S<="1101111"; WHEN"1010"=>LED7S<="1110111"; WHEN"1011"=>LED7S<="1111100"; WHEN"1100"=>LED7S<="0111001"; WHEN"1101"=>LED7S<="1011110";

数据选择器及其应用

数据选择器及其应用

物联网工程 郭港国 26 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择 器的功能类似一个多掷开关,有四路数据D 0~D 3 ,通过选择控制信号 A 1 、A (地 址码)从四路数据中选中某一路数据送至输出端Q。 1、双四选一数据选择器 74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图4-1,功能如表4-1。 表4-1

图4-1 74LS153引脚功能 S1、S2为两个独立的使能端;A1、A0为公用的地址输入端;1D0~1D3和2D0~ 2D 3分别为两个4选1数据选择器的数据输入端;Q 1 、Q 2 为两个输出端。 1)当使能端S1(S2)=1时,多路开关被禁止,无输出,Q=0。 2)当使能端S1(S2)=0时,多路开关正常工作,根据地址码A 1、A 的状态, 将相应的数据D 0~D 3 送到输出端Q。 如:A 1A =00 则选择D O 数据到输出端,即Q=D 。 A 1A =01 则选择D 1 数据到输出端,即Q=D 1 ,其余类推。 数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。 2、数据选择器的应用—实现逻辑函数 例:用4选1数据选择器74LS153实现函数:ABC C AB C B A BC A F+ + + = 函数F的功能如表(4-2)所示 表4-2 表4-3

实验二 数据选择器及其应用

实验二数据选择器及其应用 一、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-1 4选1数据选择器示意图图4-2 74LS151引脚排列 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。

二、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法; 2、学习用数据选择器构成组合逻辑电路的方法。 三、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、74LS151(或CC4512) 74LS153(或CC4539) 四、实验内容 1、测试数据选择器74LS151的逻辑功能。 接图4-7接线,地址端A2、A1、A0、数据端D0~D7、使能端S接逻辑开关,输出端Q接逻辑电平显示器,按74LS151功能表逐项进行测试,记录测试结果。 图4-7 74LS151逻辑功能测试

2、测试74LS153的逻辑功能。 测试方法及步骤同上,记录之。 逻辑功能见下表: 3、用8选1数据选择器74LS151设计三输入多数表决电路。 1)写出设计过程 有三个人进行表决,当其中任意两个人赞同时,输出为真,否则输出为假。真值表如下:

十六进制七段数码显示译码器

十六进制七段数码显示译码器

十六进制七段数码显示译码器 一、实验目的:学习7段数码显示器的Verilog硬件设计。 二、实验原理:7段数码显示器是纯组合电路。通常的小规模专用IC,如74 或4000系列的器件只能做十进制BCD码译码器(其真值表如图(1)所示),然而数字系统中的数据处理和运算都是二进制的,所以输出表达式都是十六进制的,为了满足十六进制的译码显示,最方便的方法就是利用Verilog译码程序在FPGA/CPLD中来实现。所以首先要设计一段程序。设输入的4位码为A[3:0],输出控制7段共阴数码管(如图(2)所示)的7位数据为LED7S[6:0]。输出信号LED7S的7位接共阴数码管的7个段,高位在左,低位在右。例如当LED7S 输出为“1101101”时,数码管7个段g、f、e、d、c、b、a分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示“5”。这里没有考虑表示小数点的发光管,如果要考虑,需要增加段h,然后将LED7S改为8位输出。 图(1) 7段译码器真值表 输入码输入码代表 数据输入码输入 码 代表 数据 0000 0111111 0 1000 1111111 8 0001 0000110 1 1001 1101111 9 0010 1011011 2 1010 1110111 A 0011 1001111 3 1011 1111100 B 0100 1100110 4 1100 0111001 C 0101 1101101 5 1101 1011110 D 0110 1111101 6 1110 1111001 E 0111 0000111 7 1111 1110001 F 图(2)7段共阴数码管

译码器设计组合逻辑电路案例分析

译码器设计组合逻辑电路案例分析 【信息单】 一、编码器 在数字系统中,把二进制码按一定的规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。编码器是一个多输入多输出的组合逻辑电路。 按照编码方式不同,编码器可分为普通编码器和优先编码器;按照输出代码种类的不同,可分为二进制编码器和非二进制编码器。 1.普通编码器 普通编码器分二进制编码器和非二进制编码器。若输入信号的个数N 与输出变量的位数n 满足N =2n ,此电路称为二进制编码器;若输入信号的个数N 与输出变量的位数n 不满足N =2n ,此电路称为非二进制编码器。普通编码器任何时刻只能对其中一个输入信息进行 编码,即输入的N 个信号是互相排斥的。若编码器输入为4个信号,输出为两位代码,则称为4线-2线编码器(或4/2线编码器)。 2.优先编码器 优先编码器是当多个输入端同时有信号时,电路只对其中优先级别最高的信号进行编码的编码器。 3.集成编码器 10线-4线集成优先编码器常见型号为54/74147、54/74LS147,8线-3线常见型号为54/74148、54/74LS148。 4.编码器举例 (1)键控8421BCD 码编码器 10个按键S 0~S 9代表输入的10个十进制数0~9,输入为低电平有效,即某一按键按下,对应的输入信号为0,输出对应的8421码,输出为4位码,所以有4个输出端A 、B 、C 、D 。 真值表见表7.1,由真值表写出各输出的逻辑表达式为 9898S S S S =+=A 76547654S S S S S S S S =+++=B 76327632S S S S S S S S =+++=C 9753197531S S S S S S S S S S =++++=D

数据选择器及其应用解读

实验五数据选择器及其应用 [实验目的] 1、掌握中规模集成数据选择器的逻辑功能及使用方法。 2、学习用数据选择器构成组合逻辑电路的方法。 [实验原理] 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-5-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 图4-5-1 4选1数据选择器示意图图4-5-2 74LS151引脚排列 表4-5-1 1、8选1数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-5-2,功能如表4-5-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择1个需要的数据送到输出端Q,S为使能端,低电平有效。 (1)使能端S——=1时,不论A2~A0状态如何,均无输出(Q=0,Q——=1),多路开关被禁止。 (2)使能端S——=0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中

某一个通道的数据输送到输出端Q 。 如:A 2A 1A 0=000,则选择D 0数据到输出端,即Q=0。 如:A 2A 1A 0=001,则选择D 1数据到输出端,即Q=D 1,其余类推。 2、双四选一数据选择器74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。74LS153的引脚排列如图4-5-3,功能如表4-5-2。 表4-5-2 图4-5-3 74LS153引脚功能 1S —— 、2S —— 为两个独立的使能端,A 1、A 0为公用的地址输入端;1D 0~1D 3和2D 0~2D 3 分别为两个4选1数据选择器的数据输入端;Q 1、Q 2为两个输出端。 (1)当使能端1S —— (2S —— )=1时,多路开关被禁止,无输出,Q=0. (2)当使能端1S —— (2S —— )=0时,多路开关正常工作,根据地址码A 1、A 0的状态,将相应的数据D 0~D 3送到输出端Q 。 如:A 1A 0=00,则选择D 0数据到输出端,即Q=D 0。 A 1A 0=01,则选择D 1数据到输出端,即Q=D 1,其余类推。 数据选择器的用途很多,例如多通道传输、数码比较、并行码变串行码以及实现逻辑函数等。 3、数据选择器的应用-实现逻辑函数 例1:用8选1数据选择器74LS151实现函数F=AB — +A — B (1)列出函数F 的功能表如表4-5-4所示。 (2)将A 、B 加到地址端A 1、A 0,而A 2接地,由表4-5-3可见,将D 1、D 2接“1”及D 0、D 3接地,其余数据输入端D 4~D 7都接地,则8选1数据选择器的输出Q ,便实现了函数 F=AB — +A — B 接线图如图4-5-4所示。 表4-5-3 图4-5-4 8选1数据选择器实现F=AB — +A — B 的接线图 显然,当函数输入变量数小于数据选择器的地址端(A)时,应将不用的地址端及不用的数据输入端(D)都接地。 例2:用双4选1数据选择器74LS153实现函数F= A — BC + AB — C +ABC — +ABC 函数F 的功能如表4-5-4所示。

实验三译码器及其应用、数据选择器及其应用

实验三译码器及其应用、数据选择器及其应用 一、实验目的 1 ?掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2 ?用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本 方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端S B、S C和S A,当S A=1、 S B= S C =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平?利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1 ?译码器 一个n变量的译码器的输出包含了n变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变 量的全部最小项的译码?参见模拟电子技术基础教材中3线/8线译码器功能表. 用n变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n的组合逻辑电路. 2 ?数据选择器 一个n个地址端的数据选择器, 具有2n个数据选择的功能.例如,数据选择器74LS151, n=3,可完成八选一的功能?参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 丫A2AA0D0 A2AA0D1A 2 Al A o D 2 A? A1A0D 3 A2A A0D 4 A2A A0D 5 A2 A A) A2AA0D7 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1 ?三输入变量译码器功能测试 地址输入端AA1A0是一组三位二进制代码,其中A权最高,A o权最低,按实验电路图3-1接线,将实验结果填入

编码器、译码器及应用电路设计

实验六编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 1、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 编码是用文字、符号或者数字表示特定对象的过程,在数字电路中是用二进制数进行编码的,相应的二进制数叫二进制代码。编码器就是实现编码操作的电路。本实验使用的是优先编码器74LS147,当输入端有两个或两个以上为低电平时,将对输入信号级别相对高的优先编码,其引脚排列如图6—1所示。 图6—1 74LS147引脚排列图图6—2 74LS138引脚排列图译码是编码的逆过程,是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器按照功能的不同,一般分为三类: 1、变量译码器(二进制译码器):用以表示输入变量的状态,如2—4线、3—8线、4—16线译码器。以3—8线译码器74LS138为例介绍: 图6—2为74LS138的引脚图,其中,A2A1A0为地址输入端,为译码器输出端,为使能端(只有当时,才能进行译码)。 图6—3 74LS42引脚排列图图6—5为CC4511引脚排列图 2、码制变换译码器:用于同一个数据的不同代码之间的相互变换。这种译码器的代表是4—10线译码器,它的功能是将8421BCD码译为十个对象,如74LS42等。它的原理与 74LS138译码器类同,只不过它有四个输入端,十个输出端。4位输入代码共有0000—1111

段数码显示译码器设计说明

附表1: 大学学生实验报告 开课学院及实验室:物理与电子工程学院-电子楼317室2016年 4 月 28 日 学院物电年级、专 业、班 Jason.P 学号 实验课程名称EDA技术实验成绩 实验项目名称7段数码显示译码器设计指导教师 一、实验目的: 学习7段数码显示译码器设计;学习VerilogHDL的多层次设计方法。 二、实验容: 1、实验原理: 7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。 2、实验步骤:

表4-1 7段译码器真值表图4-1 共阴数码管及其电路 (1)首先按7段译码器真值表,完成7段BCD码译码器的设计。作为7段BCD码译码器,输出信号LED7S的7位分别接如图4-1数码管的7个段,高位在左,低位在右。例如当LED7S输出为“1101101”时,数码管的7个段:g、f、e、d、c、b、a分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示“5”。 (2)设计该译码器,在QuartusII上对其进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形(提示:用输入总线的方式给出输入信号仿真数据)。引脚锁定及硬件测试。建议选实验电路模式6,用数码8显示译码输出,键8/7/6/5四位控制输入,硬件验证译码器的工作性能。 图4-2 7段译码器仿真波形 (3)将设计加入4位二进制计数器,经上面设计的16进制7段译码器显示。

实验三译码器及其应用、数据选择器及其应用

实验三 译码器及其应用、数据选择器及其应用 一、实验目的 1.掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2.用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端B S 、C S 和A S ,当A S =1、 B S = C S =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平.利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1.译码器 一个n 变量的译码器的输出包含了n 变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变量的全部最小项的译码.参见模拟电子技术基础教材中3线/8线译码器功能表. 用n 变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n 的组合逻辑电路. 2.数据选择器 一个n 个地址端的数据选择器,具有2n 个数据选择的功能.例如,数据选择器74LS151,n=3,可完成八选一的功能.参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 21002101210221032104210521062107Y A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D =+++++++ 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1.三输入变量译码器功能测试 地址输入端A 2A 1A 0是一组三位二进制代码,其中A 2权最高,A 0权最低,按实验电路图3-1接线,将实验结果填入功能表3-1中.

数字电路译码器设计

目录

1 绪论 设计背景 在数字系统中,经常需要将一中代码转换为另一种代码,以满足特定的需求,完成这种功能的电路称为码转化电路。译码器就属于其中一种。而译码就是编码的逆过程,它的功能是将具有特定含义的二进制码转换成对应的有效输出信号,具有译码功能的的逻辑电路称为译码器。而2-4译码器是唯一地址译码器,是将一系列的代码转换成与之一一对应有效的信号。常用于计算机中对存储单元地址的译码,因此,设计2-4译码器具有很强的现实意义。 matlab简介 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国 际科学计算软件的先进水平。它主要由 MATLAB和Simulink两大部分组成。本设计主要采用simulink进行设计与仿真。Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。掌握这个软件的应用具有十分重要的意义。 2 电路分析 2-4译码器功能分析 2-4译码器有2个输入端,4个输出端和一个使能端。在使能端为有效电平时,对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为相反电平。输出信号可以是高电平有效,也可以是低电平有效。具体来说,2输入变量,A1 ,A0共有4种不同状态组合,因而译码器有4个输出信号Y???0~Y?????3 并且输出为低电平有效,其真值表如表1所示 ?????10 器处于非工作状态。而当Y???为0时,对应的A1,A0的某种状态组合,其中只有一个输出为0,其余各输出量均为1.例如,A1 A0=00,输出Y???0为0,Y???0~Y?????3均为1.由此可见,2-4

实验四数据选择器及其应用

实验四数据选择器及其应用 以下是为大家整理的实验四数据选择器及其应用的相关范文,本文关键词为实验,数据,选择器,及其,应用,实验,数据,选择器,及其,应,您可以从右上方搜索框检索更多相关文章,如果您觉得有用,请继续关注我们并推荐给您的好友,您可以在教育文库中查看更多范文。 实验四数据选择器及其应用 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法

二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-14选1数据选择器示意图图4-274Ls151引脚排列 表4-1输入s输出A0×01010101Q0D0D1D2D3D4D5D6D7QA2×00001111A1×00110011100 0000001D0D1D2D3D4D5D6D7数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、 16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 1、八选一数据选择器74Ls151 74Ls151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,s为使能端,低电平有效。 1)使能端s=1时,不论A2~A0状态如何,均无输出(Q=0,Q=1),多路开关被禁止。

(3) 实验 计数译码显示电路(设计)

实验3 计数译码显示电路(设计) 一、实验目的 1、掌握2—10进制译码器和数码管的功能和使用。 2、熟悉集成计数器74LS90的功能。 3、学会用74LS90设计任意进制计数器。 二、实验仪器及器材 1、数字电路实验箱 2.双踪示波器 2、器件:74LS90(集成计数器)、74LS00、74LS47(BCD--七段译码器)、数码管 三、实验原理(含器件介绍) 1.集成计数器 计数器是计算机和数字逻辑系统的基本部件之一,它不仅能计脉冲数,还能用作数字系统的分频器、定时器和运算器等。 根据计数器中数值增减的不同,计数器可以分为加法计数器、减法计数器以及两者兼有的可逆计数器;根据进位制不同,可分为二进制计数器、十进制计数器、八进制计数器等多种;根据计数器中各触发器状态的更新所受时钟脉冲控制的相同与否,可分为同步计数器和异步计数器。 本实验中采用的74LS90计数器是由二进制及五进制电路构成的中规模集成电路,引脚图如图3.1所示。 图3.1 74LS90引脚图 0CP : Clock (Active LOW going edge) Input to ÷2 Section 1CP : Clock (Active LOW going edge) Input to ÷5 Section (LS90) 1MR ,2MR : Master Reset (Clear) Inputs 1MS ,2MS : Master Set (Preset-9, LS90) Inputs 0Q : Output from ÷2 Section 1Q ,2Q ,3Q : Outputs from ÷5 (LS90) Sections

数电 实验四 数据选择器及其应用 实验报告

实验四数据选择器及其应用 一、实验目的 1.掌握中规模集成数据选择器的逻辑功能及使用方法 2.学习用数据选择器构成组合逻辑电路的方法 二、实验设备与器件 1.+5V直流电源 2.逻辑电平开关 3.逻辑电平显示器 4.74LS151 三、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图7-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输们开关和门电路混合而成的。 八选一数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制姨妈,从8个输入数据D0~D7中,选择一个需要的数据送到输出端A, S为使能端,低电平有效。

1)使能端?S=1时,无论A2~A0状态如何,均无输出(Q=0,?Q=1),多路开关被禁止。2)使能端S=0时,多路开关正常工作。根据地址码A1、A2、A3的状态选择D0~D7中某一个通道的数据输送到输出端Q。 此处以A2A1A0=010为例,则选择D2数据到输出端,即Q=D2。 D2为0,?Q亮。D2为1,Q亮。 使能端为1,D2为1,?Q亮。使能端为1,D2变为0,?Q仍然亮。

74LS151功能测试结果表4-1 实现逻辑函数F(AB)=A?B+?AB+A B 设计过程:逻辑表

数字电路——2-4译码器设计

目录 1 绪论 (1) 1.1设计背景 (1) 2 电路分析 (2) 2.1 2-4功能分析 (2) 2.2 2-4译码器逻辑图 (3) 3 系统建模与仿真 (4) 3.1 建模 (4) 3.2 仿真波形 (5) 4 仿真结果分析 (7) 5 小结与体会 (8) 参考文献 (9)

1 绪论 1.1设计背景 在数字系统中,经常需要将一中代码转换为另一种代码,以满足特定的需求,完成这 种功能的电路称为码转化电路。译码器就属于其中一种。而译码就是编码的逆过程,它的功能是将具有特定含义的二进制码转换成对应的有效输出信号,具有译码功能的的逻辑电路称为译码器。而2-4译码器是唯一地址译码器,是将一系列的代码转换成与之一一对应有效的信号。常用于计算机中对存储单元地址的译码,因此,设计2-4译码器具有很强的现实意义。 1.2 matlab简介 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学 计算软件的先进水平。它主要由 MATLAB和Simulin k两大部分组成。本设计主要采用simulink进行设计与仿真。Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。掌握这个软件的应用具有十分重要的意义。

十六进制7段数码显示译码器设计

实验目的:学习7段数码显示译码器的Verilog硬件设计。 实验原理:7段数码是纯组合电路。通常的小规模专用IC,如74或4000系列的器件只能做十进制BCD码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是十六进制的。为了满足十六进制数的译码显示,最方便的方法就是利用Verilog译码程序在FPGA/CPLD中来实现。首先要设计一段程序。该程序可按照例3-2的case语句表述方法,再根据表4-2的真值表写出程序。设输入的4位码为A[3:0],输出控制7段共阴数码管的7位数据为LED7S[6:0]。输出信号LED7S的7位分别接图4-47的共阴数码管的7个段,高位在左,低位在右。例如当LED7S 输出为“1101101”时,数码管的7个段g , f , e , d , c , b , a 分别接在1,1,0,1,1,0,1;接有高电平的段发亮,于是数码管显示“5”。这里没有考虑小数点的发光管,如果要考虑,需要增加段h,然后将LED7S改为8位输出。 实验过程:1:新建Verilog工程2:编程3:保存(与模块名一致) 4:新建波形图5:保存6:导入波形7:设置输入波形8:设置fuction 仿真9:生成网表10:仿真 程序代码: module LED(A,LED7S); input [3:0]A; output [6:0]LED7S; reg [6:0]LED7S; always @ (A) begin: LED

case(A) 4'b0000: LED7S<=7'b0111111; 4'b0001: LED7S<=7'b0000110; 4'b0010: LED7S<=7'b1011011; 4'b0011: LED7S<=7'b1001111; 4'b0100: LED7S<=7'b1100110; 4'b0101: LED7S<=7'b1101101; 4'b0110: LED7S<=7'b1111101; 4'b0111: LED7S<=7'b0000111; 4'b1000: LED7S<=7'b1111111; 4'b1001: LED7S<=7'b1101111; 4'b1010: LED7S<=7'b1110111; 4'b1011: LED7S<=7'b1111100; 4'b1100: LED7S<=7'b0111001; 4'b1101: LED7S<=7'b1011110; 4'b1110: LED7S<=7'b1111001; 4'b1111: LED7S<=7'b1110001; default: LED7S<=7'b0111111; endcase end endmodule

相关文档
相关文档 最新文档