文档库 最新最全的文档下载
当前位置:文档库 › 数电课程设计篮球24秒倒计时

数电课程设计篮球24秒倒计时

数电课程设计篮球24秒倒计时
数电课程设计篮球24秒倒计时

目录

前言 ............................................ 错误!未定义书签。第一章计时器 ................................................ - 2 -

1.1篮球竞赛24秒计时器功能................................ - 2 -

1.2 设计任务及要求 (2)

1.2.1基本要求 (2)

1.2.2 设计任务及目标..................................... - 2 -

1.2.3 主要参考器件:..................................... - 3 - 第二章电路设计原理与单元模块 .. (3)

2.1 设计原理 (4)

2.2 设计方案 (4)

2.3 单元模块 (4)

2.3.1 8421BCD码递减计数器模块 (5)

2.3.2 时钟模块 (7)

2.3.3 辅助时序控制模块 (8)

第三章实验体会 (10)

第一章计时器概述

1.1篮球竞赛24秒计时器功能

随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。

本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

1.2 设计任务及要求

1.2.1基本要求

1. 具有24秒计时功能。

2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。

3. 在直接清零时,要求数码显示器灭灯。

4. 计时器为24秒递减时, 计时间隔为1秒。

5. 计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。1.2.2 设计任务及目标

(1)根据原理图分析各单元电路的功能;

(2)熟悉电路中所用到的各集成块的管脚及其功能;

(3) 进行电路的装接、调试,直到电路能达到规定的设计要求; (4) 写出完整、详细的课程设计报告

1.2.3 主要参考器件

译码器74HC4511

计数器74LS192

LED 数码管 扬声器 555 CAP 74LS08

第二章 电路设计原理与单元模块

2.1 设计原理

24秒计时器的总体参考方案框图如图2-1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。

图2-1 24秒计时器系统设计框图

秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号

外部操作开关

秒脉冲发生器

计数器

译码

显示

控制电路

报警电路

要求并不太高,故电路可采用555集成电路组成的多谐振荡器构成。

译码显示电路由74HC4511和共阴极七段LED 显示器组成。报警电路在实验中可用发光二极管和扬声器代替。

2.2 设计方案

分析设计任务,计数器和控制电路是系统的主要部分。计数器完成24s 计时功能,而控制电路具有直接控制计数器的启动计数、暂停/连续计数、译码显示电路的显示和灭灯功能。为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。在操作直接清零开关时,要求计数器清零,数码显示器灭灯。

当启动开关闭合时,控制电路应封锁时钟信号CP ,同时计数器完成置数功能,译码显示电路显示“24”字样;当启动开关断开时,计数器开始计数;当暂停/连续开关拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停/连续开关拨在连续时,计数器继续递减计数。

系统设计框图如图2-1所示。篮球竞赛24秒计时器实验电路如图2-2所示。

a

b c d e f g

1234567

1234567

a1a2a3a4

a5a6a b c d e f g

a b

aa

aa aa aa

ab

ab ab

ac

ac aa

a b

1212

as

33

cc

33

cc

a s

33

A 7

B 1

C 2

D 6LT 3

BI

4LE/STB 5

QA 13QB 12QC 11QD 10QE 9QF 15QG

14

U1

74HC4511

D015Q03D11Q12D210Q26D39

Q37UP 5TCU 12DN 4TCD

13

PL 11MR 14

U3

74LS192

D015Q03D11Q12D210Q26D39Q37UP 5TCU 12DN 4TCD

13

PL 11MR 14

U4

74LS192

A 7

B 1

C 2

D 6LT 3BI

4LE/STB 5

QA 13QB 12QC 11QD 10QE 9QF 15QG

14

U2

74HC4511

+5V

SW1

SW-SPST

R1

1k

U5

NOT

+5V

R2

200

SW2

SW-SPST

R

4

DC

7

Q 3G N D

1

V C C

8

TR 2

TH

6

CV

5

U6

555+5V

R3

68k

R4

15k

C1

1nF

C2

10000nF

SW3

SW-SPST

LS1

SPEAKER

R

4

DC

7

Q 3G N D

1

V C C

8

TR 2

TH

6CV

5

U7

555

R

4

DC

7

Q 3G N D

1

V C C

8

TR 2

TH

6

CV

5

U8

555

R5

50k

R7

0.61k

R8

2.4k

C3

10nF

C4

10000nF

C5

10nF

C7

220nF

C6

100u

+5v

D1

LED-YELLOW

R6

20k

U10

NOT

D015Q03D11Q12D210Q26D39

Q37

UP 5TCU 12DN 4TCD

13

PL 11MR 14

U974LS192

U11

AND_4

U12

NOT

U13

NOT

图2-2 篮球竞赛24秒计时器

2.3 单元模块

2.3.1 8421BCD 码递减计数器模块

计数器选用汇总规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。

图2-3是74LS192外引脚及时序波形图。图中UP 、DN 分别是加计数、减计数的时钟脉冲输入端(上升沿有效)。

PL 是异步并行置数控制端(低电平有效), TCU 、TCD 分别是进位、借位输出端(低电平有效),MR 是异步清零端,D3-D0是并行数据输入殿,Q3-Q0是输出端。

74192的功能表见下表2-1所示。其工作原理是:当PL=1,MR=0时,若时钟

UP

DN=1

D015

Q03D11Q12D210Q26D39Q37UP 5TCU 12DN 4TCD

13

PL 11MR 14

U9

74LS192

图2-3 74LS192外引脚及时序波形图

表2-1 74LS192功能表

则计数器在预置数的基础上完成加计数功能,当加计数到9时,TCU 端发出进位下跳变脉冲;若时钟脉冲加到DN 端,且UP =1,则计数器在预置数的基础上完成减计数功能,当减计数到0时,TCD 端发出借位下跳变脉冲。由74LS192构成的二十四进制递减计数器如下图2-4所示

a b

aa

ac ac

aa

a b

D015

Q03D11Q12D210Q26D39Q37UP 5TCU 12DN 4TCD

13

PL 11MR 14

U3

74LS192

D015Q03D11Q12D210Q26D39Q37UP 5TCU 12DN 4TCD

13

PL 11MR 14

U4

74LS192

+5v

UP PL MR

功 能 1 x x x x

x 0 0 0 1 0

1

1

1

清零 置

加法计数 减法计数

DN

图2-4 8421BCD 二十四递减计数器

其预置数为N=(00100100)= (24)10。

它的计数原理是 : 只有当低位TCD1端发出借位脉冲时 , 高位计数器才作减计数。当高、低位计数器处于全零 , 且 DN 为 0 时 , 置数端PL2 =0, 计数器完成并行置数 , 在 DN 端的输入时钟脉冲作用下 , 计数器再次进入下一循环减计数。

2.3.2 时钟模块

为了给计数器74LS192提供一个时序脉冲信号,使其进行减计数,本设计采用555构成的多谐振荡电路(即脉冲产生电路),其基本电路如图2-6示.

其中555管脚图如下图2-5示.由555工作特性和其输出周期计算公式可知,其产生的脉冲周期为: T=0.7(R1+2R2)C 。

因此,我们可以计算出各个参数通过计算确定了R4取15k 欧姆,R3取68k 欧姆,电容取C2为10uF 、C1为1nF,.这样我们得到了比较稳定的脉冲,且其输出周期为1秒

R

4

DC

7

Q 3G N D 1

V C C

8

TR 2

TH

6

CV

5

U9

555

图2-5 555管脚图

12

1

2

R

4

DC

7

Q

3

G

N

D

1

V

C

C

8

TR

2

TH

6

CV

5

U6

555

+5V

R3

68k

R4

15k

C1

1nF

C2

10000nF

图2-6 555多谐振荡电路图

2.3.3 辅助时序控制模块

为了保证系统的设计要求 , 在设计控制电路时 , 应正确处理各个信号之间的时序关系。从系统的设计要求可知 , 控制电路要完成以下四项功能 :

①操作“直接清零”开关时 , 要求计数器灭灯。

②闭合“启动” 开关时 , 计数器应完成置数功能 , 显示器显示24秒字样; 断开“启动”开关时, 计数器开始进行递减计数。

③当“暂停 / 连续”开关处于“暂停”位置时 ,

控制电路封锁时钟脉冲信号 CP , 计数器暂停计数 , 显示器上保持原来的数不变,“暂停 / 连续” 开关处于“连续”位置时 , 计数器继续累计计数。

④当计数器递减计数到零 ( 即定时时间到 ) 时 , 控制电路应发出报警信号 , 使计数器保持零状态不变 , 同时报警电路工作。如图2-7所示.

33

SPEAKER

C6

100u

D1

LED-YELLOW

图2-7 光电报警电路

当计数到零时,十位计数器Q3端瞬间变化0-9-0,形成一个低窄脉冲给辅助时序控制电路,此时扬声器发光二极管发出光电报警信号,完成报警功能,而在递减计数时,辅助时序控制电路接收高电平,不报警.

cc

33

cc

a s

33

+5V

R2

200

SW2

SW-SPST

R 4

DC

7

Q 3G N D

1

V C C

8

TR

2

TH

6CV

5

U7

555

R

4

DC

7

Q 3G N D

1

V C C

8

TR 2

TH

6

CV

5

U8

555

R5

50k

R7

0.61k

R8

2.4k

C3

10nF

C4

10000nF

C5

10nF

C7

220nF

+5v

R6

20k

U10

NOT

D015Q03D11Q12D210Q26D39

Q37

UP 5TCU 12DN 4TCD

13

PL 11MR 14

U974LS192

U11

AND_4

U12

NOT

U13

NOT

图 2-8 辅助时序控制电路图(时钟信号控制电路)

2.3.4 译码显示模块

此模块主要是由74HC4511译码器和共阴极七段LED 显示器组成,通过计数器加到译码器,从而实现共阴极七段LED 显示器从24递减到零的计数显示功能。

A 7

B 1

C 2

D 6LT 3BI 4LE/STB

5

QA 13QB 12QC 11QD 10QE 9QF 15QG

14

图2—9 74HC4511译码器

1. 74HC4511是七段显示译码器,其管脚图如下图2-9所示。现将各管

脚功能介绍一下:

A 、

B 、

C 、

D 是BCD 码的输入端; QA 、QB 、QC 、QD 、Q

E 、Q

F 、Q

G 是输出端;

试灯输入端LT :低电平有效。当LT =0时,

数码管的七段应全亮,与输入的译码信号无关。本输入端用于测试数码管的好坏;

动态灭零输入端BI :低电平有效。当LT =1、BI =0、且译码输入为0时,该位输出不显示,即0字被熄灭;当译码输入不全为0时,该位正常显示。

第三章 实验体会

本次课程设计是本人到目前为止觉得最有意义也是收获最大的一次实习。身为电气工程系的学生,设计是我们将来必需的技能。而这次课设恰恰给我们提供了一个应用自己所学知识的平台。

在本次课程设计中,我对74HC4511、74LS192、555等芯片加深了了解,和巩固了对它们的使用,对于数字、模拟电路的综合运用有了更深一步理解,为以后的电路分析和设计奠定了一定的基础。提高实践动手能力。大学中许多的时间都是在学习理论知识,很少参与时间中去,课程设计给我们提供了一个宝贵的机会,理论用语实践,从设计,仿真,每一步的进行,都会带来受益非浅的实际操

作训练,许多的实践经验是我们在课本上学不到的,必须经过这样严格的自己动手,才会从中体会出设计成果的喜悦。理论知识总是要用于实践中才得以升华,我们应该更多的参与实践,以增强我们对电子专业的兴趣。同时,从开发设计一些小规模产品去体会学习开发设计电子产品的设计思路,为以后的工作打下基础。

从通过理论设计,到仿真软件仿真,再到确定具体方案,最后到调试电路、成型。整个过程都需要我充分利用所学的知识进行思考、借鉴。可以说,本次课设是针对前面所学的知识进行的一次比较综合的检验。总的来说,这次课程设计非常充实。

通过这次课程设计,让我明白学与用的区别,更让我明白了扎实的理论知识对实践的重要性。

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

篮球比赛24秒倒计时电路的设计与制作

篮球比赛24秒倒计时电路的设计与 制作 中国工程物理研究院工学院赵应泽 龙江 一、电路组成 电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见图1。其整机电路如图2所示,印制板电路如图3所示。

1.秒脉冲发生器

秒脉冲产生电路由555定时器和外接元件R1、R2、c构成多谐振荡器。输出脉冲的频率为: 经过计算得到.f≈IHz,即1秒。2.计数器 计数器由两片74Lsl92同步十进制可逆计数器构成。 利用减计数利用预置数,实现计数器按8421码递减进行减计数。利用借位输出端与下一级的cP。连接,实现计数器之间的级联。

利用预置数端实现异步置数。当R。=0,且时,不管cPU 和cPD时钟输入端的状态如何,将使计数器的输出等于并行输入数据,即Q3Q2Q1Q0=D3D2D1D0。 3.译码及显示电路 本电路由译码驱动74Ls48和7段共阴数码管组成。74Ls48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。 4.控制电路 完成计数器的复位、启动计数、暂停

/继续计数、声光报警等功能。控制电路由Ic5组成。Ic5B受计数器的控制。Ic5c、Ic5D组成Rs触发器,实现计数器的复位、计数和保持"24"、以及声、光报警的功能。 (1)K1:启动按钮。K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持"24"状态不变,处于等待状态。当K1闭合时,计数器开始计数。 (2)K2:手动复位按钮。当按下I(2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即"24"。当松开K2时,计数器从24开始计数。

数电课程设计篮球24秒倒计时

目录 前言 ............................................ 错误!未定义书签。第一章计时器 ................................................ - 2 - 1.1篮球竞赛24秒计时器功能................................ - 2 - 1.2 设计任务及要求 (2) 1.2.1基本要求 (2) 1.2.2 设计任务及目标..................................... - 2 - 1.2.3 主要参考器件:..................................... - 3 - 第二章电路设计原理与单元模块 .. (3) 2.1 设计原理 (4) 2.2 设计方案 (4) 2.3 单元模块 (4) 2.3.1 8421BCD码递减计数器模块 (5) 2.3.2 时钟模块 (7) 2.3.3 辅助时序控制模块 (8) 第三章实验体会 (10)

第一章计时器概述 1.1篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 1.2 设计任务及要求 1.2.1基本要求 1. 具有24秒计时功能。 2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3. 在直接清零时,要求数码显示器灭灯。 4. 计时器为24秒递减时, 计时间隔为1秒。 5. 计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

数字电子技术课程设计篮球比赛30s计时器

一、设计目的 1.培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力 2.学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。3.运行基本技术训练,如基本仪器仪表的使用,产业元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规以及使用仿真软件、实验设备进行调试和数据处理等。 4.培养学生的创新能力。 二、设计要求 1.30秒计时器具有显示30秒的计时功能。 2.系统设置外部操作开关,控制计时器的直接置数、清零、启动、和暂停功能。3.计时器为30秒递减计时时,其计时间隔为1秒。 4.当计时器递减计时到零时,数码显示器不能灭灯,LED变亮报警。 三、总体设计 本实验的核心部分是要设计一个30s计数器,并且对计数结果进行实时显示,同时要实现设计任务中提到的各种控制要求,因此该系统包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等5个部分构成。其中,计数器和控制电路是系统的主要部分。计数器完成30s计时功能,而控制电路具有直接控制计数器的启动计数、暂停、连续计数、译码显示电路的显示和灭灯功能。为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。在操作直接清零开关时,要求计数器清零,数码显示器显示零。当启动开关闭合时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示30s字样;当启动开关断开

时,计数器开始计数;当暂停、连续开关拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停、连续开关拨在连续时,计数器继续递减计数。系统设计框图如图下图所示。 图1 四、单元电路设计 1、译码显示电路 用发光二极管(LED )组成字型来来显示数字。这种数码管的每个线段都是一个发光二极管,因此也称LED 数码管或LED 七段显示器。因为计算机输出的是BCD 码,要想在数码管上显示十进制数,就必须先把BCD 码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD 码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”因此在本次的设计中我们采用了常用的74LS48。 在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果;另一方 面用于监视数字系统的工作情况。因此,数字显示电路是许多数字设备不可缺少的部分。数字显示电路通常由译码器、驱动 器和显示器等部分组成,如下图所示。下面对显示器和译码驱动器分别进行介绍。

数据库课程设计NBA篮球管理系统

山西大学软件学院 数据库系统概论课程设计报告书 题目NBA数据系统 专业软件工程 班级 姓名 学号 指导教师 2017年6月

目录 一、选题背景 (3) 二、需求分析. (3) 2.1 功能需求 (3) 2.2 数字字典 (4) 2.2.1 数据项............................................................ .. .4 2.2.2 数据结构 (6) 2.2.3 数据流 (6) 三、概念结构设计 (6) 3.1 球员E-R图 (7) 3.2 球队E-R图 (7) 3.3 赛程E-R图 (8) 四、逻辑结构设计 (8) 五、物理结构设计 (8) 5.1 球员数据表 (9) 5.2 球队信息表 (9) 5.3 赛程信息表 (10) 六、数据库实施............................................................ ..10 七、界面及代码 (13) 八、心得体会 (23)

一、选题背景 本学期的课设又开始了,我的想法就是为每个球迷建立一个方便查询NBA 相关信息的数据库系统。虽然当今NBA数据系统很强大而且很全面,但这次我 就是想继续通过自己的能力以及利用自己学习的知识来做出一个可以利用的软 件系统。 另外,NBA球员多,球队多,比赛也多。球迷需要一个可以方便查询所有 信息的一个系统。所以,针对这个背景,我便定了这个题目。以下就是我的设计 思想和过程。 二、需求分析 2.1 功能需求 系统要实现的主要功能有: (1)建立数据库: 根据系统功能设计的要求以及功能模块的划分,设计相关数据库。 (2)球员数据管理模块: 实现NBA球员基本情况的录入、删除等基本操作;对球员基本信息能提供灵活的查询方式。 (3)球队信息管理模块: 实现NBA球队的录入、删除等基本操作;能方便的查询球队的各项信息。 (4)球队赛程信息管理模块: 实现NBA各球队赛程信息的录入、删除等基本操作;能方便查

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

单片机课程设计篮球计时器

单片机课程设计篮 球计时器

绪论 篮球比赛中除了有总时间倒计时外,为了加快比赛的节奏,规则还要求进攻方在24秒内有一次投篮动作,否则视为违例。以下为一个篮球比赛计时器,该计时器采用按键操作、数码管显示,非常实用。此计时器也可作为其它球类比赛的计时器。 本课程设计介绍了一个基于单片机的篮球比赛计时器硬件设计,包括STC89C51, 2个八段共阳数码管显示、上电复位电路、时钟发生电路等基本模块的设计。其功能土要有:一场篮球比赛共分四节,每节12分:每次进攻为24秒,计时器的显示均为倒计时方式,24秒计时用两位数码管显示;所有的计时都要具有暂停、继续、复位;当球员的持球时间超过24秒时,24秒倒计时减为零且有蜂鸣器报警提示。本次课程设计是采用单片机C语言实现倒计时24秒篮球比赛计时器。

1系统工作原理 1.1 功能说明 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。 大多数篮球计时器的主控芯片为AT89C51,采用12MHz晶振,P0.0-P0.7作数码显示端。24秒计时开始,A3为24秒复位开启键(投篮或交换控球时按下此键);A4为24秒计时停止键(有违例时按下此键); A5为24秒计时启动键;A6为总复位键。而此次我们设计的是1个简易篮球比赛计时器。 最简单的篮球球计时器是24秒倒计时计时器。也就是本次课程设计的课题。24秒篮球计时器要求设置外部操作开关,控制计数器的直接复位、启动和暂停,而且计时电路递减计时,每隔1秒钟,计时器减1,当计时器减0时,显示器上显示00,同时发出蜂鸣器报警信号。 1.2基本原理 24秒计时器的总体参考方案框图如图1所示,它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

单片机课程设计(24秒篮球计数器)

1.引言 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。 单片机模块中最常见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 数字钟是采用数字电路实现对时,分,秒。数字显示的计时装置,广泛用于比赛,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 2 设计要求 1、具有24s计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停 /连续功能。 3、计时器为24秒递减时,计时间隔为1秒。 4、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 5、有直接清零然后恢复到24秒,准备重新开始计数。 学生在教师指导下,综合运用所学知识完成基于单片机的篮球比赛24秒计时器设计。要求设计一个24秒计时电路,并具有时间显示的功能。 要求: 1、设置外部操作开关,控制计数器的直接清零、启动和暂停/连续计时。

2、要求计时电路递减计时,每隔1秒钟,计时器减1。 3、当计时器减到0时,显示器上显示00,同时发出光电报警信号。 3设计思路: 选用AT89C51作为主控芯片,晶振是6KHz,机械周期为1ms,所以循环10次为1s。P0口作为段码输出,P2.0、P2.1作为位控,高电平有效。数码管是液晶显示,采用动态显示,两个串行口作为中断入口,高电平有效,启动T0定时器/计数器进行计数,低电平有效。图2.2.1是系统硬件设计电路图一。 时间设置完后,启动定时器T0开始定时计数。计时采用倒计时,比如:设置的时间为24秒钟,则在LED上显示24两位数。定时T0计数24秒后中断返回,继续定时计数下一个24秒;同时则在2位LED显示器上显示,表示时间已经过去1秒钟,即为23秒。这样一直持续下去。知道变为“00”时表示赛程结束。如果比赛中裁判叫停,则只要按下键,即可暂停计时。

篮球24秒倒计时器设计

湖南工业大学 课程设计 资料袋 电气与信息工程学院(系、部)2016~2017 学年第 1 学期课程名称电子技术课程设计指导教师黄卓冕职称讲师 学生姓名谢富专业班级电气工程1401 学号14401300114 题目篮球比赛24秒计时器设计 成绩起止日期2016 年11 月14 日~2016 年11 月18 日 目录清单

湖南工业大学 课程设计任务书 2016年~2017学年第1 学期 电气与信息工程学院(系、部)电气工程专业1401 班级课程名称:电子技术课程设计 设计题目:篮球比赛24秒计时器设计 完成期限:自2016 年11 月14 日至2016 年11 月18 日共 1 周

安 排 2016.11.15--17 学生进行设计 2015.11.18 学生修改、打印设计报告 主要参考资料[1] 康华光电子技术基础模拟部分(第五版)高等教育出版社 2007年 [2] 欧伟明. 实用数字电子技术. 北京:电子工业出版社,2012 [3] 陆应华. 电子系统设计教程. 北京:国防工业出版社,2005 [4] 李忠波等. 电子技术仿真与实践. 北京:机械工业出版社,2004 指导教师(签字):年月日 系(教研室)主任(签字):年月日 电子技术课程设计 设计说明书 起止日期:2016 年11 月14 日至2016 年11 月18 日篮球比赛24秒计时器设计

学生姓名谢富 班级电气工程1401 学号14401300114 成绩 指导教师(签字) 电气与信息工程学院(部) 篮球比赛24秒计时器设计 设计目的及要求 一、设计要求 (1)设计指标 1、具有24秒计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时, 计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 6、将24秒递减计时器改为24秒递增计时器,试问电路要作哪些相应的改动。 它包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等五个部分组成。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路是控制计时器的直接清零、启动计数和暂停/连续计数、译码显示电路的显示和灭灯等功能。 为保证系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系: 1.操作直接清零开关时,要求计数器清零,数码显示器灭灯。 2.当启动开关闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,数码显示器显示24秒

篮球计分器课程设计

机械与电子工程学院 课程设计报告 课程名称单片机原理及接口技术设计题目篮球计分器的设计 所学专业名称自动化 班级111 学号011123 学生姓名小微 指导教师王老师 2014年5月10日

机电学院数字电子技术课程设计 任务书 设计名称:篮球计分器的设计 学生姓名:小微指导教师:王老师 起止时间:自2013 年 5 月12 日起至2013 年 5 月26 日止 一、课程设计目的 设计一种基于单片机技术对篮球比赛进行简单计分的篮球计分器。 二、课程设计任务: 1、能记录整个赛程的比赛时间,并能随时实现暂停。 2、能随时刷新甲、乙两队在整个过程中的比分, 加分有误时可通过按键实现减分调整。 3、中场交换比赛场地时,能自动交换甲、乙两队比分的位置。 4、比赛结束时,能发出报警声。 5需要调整比赛时间,可通过按键进行加时和减时的调整。 三、基本要求: 1、允许采用MCS-51系列或其他类型单片机。 2、系统程序采用汇编或C语言编制。 3、硬件原理图采用proteus软件绘制。 机电学院单片机课程设计

指导老师评价表

摘要与关键字 (1) 1.绪论 (2) 1.1设计背景 (2) 1.2计任务及要求 (2) 2.系统总体方案设计 (3) 2.1方案设计与选择 (3) 方案一: (3) 方案二: (4) 方案确立: (4) 3.系统硬件设计 (5) 3.1篮球计分器硬件设计的基本要求 (5) 3.1.1硬件设计基本要求 (5) 3.1.2引脚应用说明 (5) 3.1.3引脚排列图 (6) 3.2显示电路 (7) 3.2.1显示电路设计 (7) 3.2.2按键设计 (8) 3.4晶振电路模块 (8) 3.5复位电路模块 (9) 3.6报警模块 (9) 4. 系统程序设计 (10) 4.1 设计思想 (10) 4.2 主程序设计 (10) 5.仿真验证 (12) 6.结论 (13) 参考文献 (14) 附录一 (15) 附录二 (16)

篮球24秒计时器课程设计报告

课程设计 题目篮球竞赛24秒计时器 学院自动化学院 专业自动化 班级自动化0902 姓名李鑫 指导教师杨莉林伟 2011 年 6 月30 日 目录 摘要 (2) ABSTRACT (3) 第1章设计任务及基本要求 (4) 1.1设计任务 (4) 1.2基本要求 (4) 第2章电路框图及工作原理 (4) 2.1电路框图 (4) 2.2 设计方案 (5) 第3章各单元电路的设计 (5) 3.1 24进制计数器的设计 (5) 3.2数码显示电路的设计 (7) 3.3秒脉冲的设计 (8) 3.4各控制开关的设计 (9) 3.5报警电路的设计 (11)

3.6整机工作原理 (12) 第4章电路仿真 (12) 第5章数字电路的连接与调试 (14) 结论............................................................................................. 错误!未定义书签。 参考文献 (16) 附录一篮球竞赛24秒计时器总电路原理图......................... 错误!未定义书签。 附录二原器件清单表 (18) 摘要 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识与实践能力相结合的重要环节,是真正锻炼学生能力的环节。为今后进行复杂的综合型电子系统的设计和调试打下基础。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。 本设计主要能完成:电路具有24秒时间显示功能;系统设置外部操作开关,控制计时器的置数、启动/连续和暂停功能;计数过程中,无论处于何种状态,当按下置数键时,计数重新开始;计时器为递减计时,其计时间隔为1秒;当计时器递减计时到零时,显示器显示00,同时发出CP 同步闪烁报警信号等。整个电路的设计借助于Proteus仿真软件以及数字电路相关理论知识,得到了预期的结果。 关键词:24秒计数报警清零暂停 ABSTRACT

课程设计--篮球24秒定时器的制作

随着电子技术的飞速发展,电子技术在社会生活中发挥越来越重要的作用,特别是各种竞技运动中,定时器成为检验运动员成绩的重要工具。 篮球是一项大众化的运动,现实生活中的比赛有很多规则,正规比赛中我们知道,在一次进攻中,一方队员只有24秒的进攻时间,超过这个时间则表示一次违例。本课程设计题目紧密联系生活实际,用简单的数字逻辑电路实现24秒减数计时器,每隔一秒计数一次直到减到零并发生光报警,计数器有置数功能,最初置数为24,并且有清零功能和暂停功能,使设计电路具有很好的实用价值; 计时器主要是由即使电路、控制电路、以及译码显示电路3个部分组成。电路结构简单,功能方便、快捷。 篮球24秒定时器的制作 结构设计与方案选择 本设计是以555构成震荡电路,由74LS192来充当计数器,构成NBA24秒倒计时电路。该电路简单,无需用到晶振,芯片都是市场上容易购得的。设计功能完善,能实现直接清零、启动和暂停/连续计时,还具有报警功能。 一、设计原理与电路 原理方框图图: 包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显

示与灭灯、定时时间到报警等功能。当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。 二、电路 方案中采用两片192芯片,能实现暂停、清零、置数的功能。结构比较简单,开关3为清零控制端,开关2为置数端,开关1为暂停/继续开关。秒脉冲由555定时器构成。 它的工作原理是:只有当低位BO1端发出借位脉冲时,高位计数器才做减数器。当高低位全部变为零时,且CPD为0时置数端LD2=0,计数器完成置数,在CPD脉冲信号的作用下,计数器再次进入下一轮减计数。 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,电路采用555集成电路或由TTL与非门组成的多谐振荡器的构成。 译码显示电路用74LS48和共阴极七段LED显示器组成。报警电路在实验中可用发光二极管代替。 三、单元电路的设计 1、进制计数器的设计 计数器选用集成电路74LS192是十进制可编码同步加法计数器,它有可逆计数功能,CPU、CPD分别是加计数器、减计数器的时钟脉冲输入端(上升沿触发)其中RD是异步清零端,高电平有效,PL是异步并行置数控制端(低电平有效)预置数据输入端包括P0,P1,P2,P3,其中最高端为P3。TCU为加法计数的进位输出端,TCD是减法计数的借位输出端,MR是并行数据输入端,Q3-Q0是输出端。 计数器选用中规模继承74LS192进行设计较为简便,74LS192是十进制可编程同步加/减计数功能。下图是74LS192外引线排列图与功能表:

篮球24秒倒计时器课程设计报告

厦门城市学院 2014-2015学年第一学期 《EDA软件设计》 ——课程设计论文 课题:篮球比赛24秒倒计时器的设计与仿真分析 所在系部:电子信息与工程系 年级:11级 班级:电信Z1 姓名:林鑫豪 学号:W140242131 提交时间:2015 年 1 月8 日

一、设计题目 篮球比赛24秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停/继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

篮球24秒倒计时器课程设计报告

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级:11通信 1班 姓名:张梦瑶 学号:20110306111 成绩: 指导老师:李海霞 开课时间:2012-2013学年二学期

一、设计题目 篮球比赛 24 秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim 软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停 /继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为 0 分,无故旷课三次总成绩为 0 分。迟到 20 分钟按旷课处理。

数字电子技术课程设计-篮球24秒计时课程设计

数字电子技术课程设计 报告

题目篮球竞赛24S计时器 姓名:李想 专业:光电信息科学与工程 班级:132班 学号:180335244 任课老师:刘念 篮球竞赛24S计时器 刖言 计时器概述 数字电子技术课程设计是数字电子技术学习中非常重要的一个环节,是将理 论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码

管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 一、设计任务及要求 1设计任务 本设计主要能完成:在篮球比赛中,规定球员的持球时间不能超过24秒,否则就犯规了。本课程设计“篮球24秒计时器”可用于篮球比赛中,用于对球员持球24秒的限制。一旦球员持球时间超过24秒,他就报警。 2设计要求 1、电路具有时间显示功能, 2、要求电路为24秒递减计时,; 3、元器件及参数选择 4、要求外部开关控制清零,暂停和复位,; 5、电路仿真与调试; 3、主要器材:1、七段共阴极数码2个 2、74LS192D 3、74LSO8D 4、74LSOOD 5、蜂鸣器 6、发光二极管 7、脉冲信号源&单刀双掷开关。 (4)编写设计报告

篮球竞赛24秒计时器设计-

数字电子技术课程设计说明书 篮球竞赛24秒计时器设计 系、部:电气与信息工程学院 学生姓名: 指导教师:职称讲师 专业:电气 班级:电气 完成时间: 2012-6-6

前言

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

目录 第1章电路方案的选择及电路框图 (5) 设计方案 (5) 电路框图 (5) 第2章设计思路及其工作原理的介绍 (6) 设计思路 (6) 基本原理 (6) 第3章单元电路的设计 (8) 24进制计数器的设计 (8) 数码显示电路的设计 (9) 秒脉冲的设计 (11) 控制开关电路的设计 (13) 报警电路的设计 (13) 整机工作原理 (14) 第4章电路仿真 (15) 计时预备阶段 (15) 计时阶段 (16) 暂停\连续功能的实现 (17) 电路报警 (18) 第5章安装及调试步骤 (19) 第6章故障分析与电路改进 (20) 故障的分析和解决 (20) 电路的改进 (20) 结束语 (21) 参考文献 (22)

篮球记分牌数电课程设计

数字逻辑电路 课程设计报告 院(部):信息工程学院 专业:通信工程 班级:通信二班 姓名:陈俊达 学号:20150303203 成绩: 指导老师:李海霞 开课时间:2016-2017 学年 2 学期

课程设计任务书 一、设计题目 篮球记分牌 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、要求完成的主要功能 1、自选器件,要求甲乙双方各显示为三位数(可显示至百位) 2、分别用三个按钮,给记分牌加减1、2、3分。 3、用一个开关实现加减控制 4、每次篮球比赛计分后用一个开关给系统清零,使系统复位,准备下一次 比赛实验。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩20%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩30%;

4、考勤情况,占总成绩20%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

篮球竞赛24秒计时器数电课程设计

《数字电子技术》课程设计说明书课题名称:篮球竞赛24秒计时器设计 专业:电气工程及其自动化 班级:电气1202班 指导老师:胡新晚 姓名:曾瑞琪

计数器概述 篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥这越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。 本设计只要完成:显示24秒倒计时功能:系统设置外部操作开关,控制计时器的直接清零、启动、暂停、连续功能;在直接清零时,数码管显示器灭灯,计时器为24秒递减计时其计时间间隔为1秒,计时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号等。 设计任务及要求 基本要求 (1)显示24秒计时功能。 (2)设置外部操作开关控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器,其计时间隔为1秒。 (4递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

(3)进行电路的装接、调试、直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告 主要参考器件 555 晶体定时器 74 LS00 74LS48译码器 74LS192十进制可编程同步加锁计数器

篮球赛计时计分器课程设计

电子与电气工程学院 课程设计报告 课程名称电子技术课程设计 设计题目计分器 专业名称电子科学与技术 班级 13级 2班 学号2013210825 学生姓名唐前昆 指导教师王欢 2015年06 月02日

目录 第1章系统概述 (1) 1.1 功能简述 (1) 1.2 按钮设置 (1) 第2章总体方案设计 (2) 2.1 系统框图 (2) 2.2 软件总体设计 (2) 第3章系统硬件设计 (3) 3.1 80C51单片机 (3) 3.2 3×4矩阵式键盘 (3) 3.3 8段数码管显示器 (3) 3.4 系统原理图 (3) 第4章软件设计 (4) 4.1 主函数设计 (4) 4.2按键码获取,按键处理函数 (5) 4.3显示子函数 (6) 4.4延时子函数 (7) 第5章系统的安装调试说明 (8) 5.1 软件调试 (8) 5.2 软硬联调 (8) 总结 (9) 参考文献 (10) 附录A 系统原理图 (11) 附录B 源程序清单 (12)

第1章系统概述 1.1 功能简述 本设计内容为比赛计分器,主要用于各种体育比赛记录分数。采用矩阵式键盘作为输入,用户可分别对两队比分进行加1、加2和减1减2操作,其加减1,2分可以通过加减1分、2分的切换按钮实现,并通过指示灯显示其每次按下加减分键所加减的分值。可以实现预置分。比分通过4个8段数码管显示器进行显示,每队比分显示2位, 1.2 按钮设置 计分器应该有7个按键分别标注于原理图,见图1-1。 图1-1按钮功能图 其中1/2分切换由发光二极管指示,加1减1分别对应。预置分是事先设定分数可以分别设定甲乙两队的初始分数。按下清零后,显示的分数清零。

相关文档
相关文档 最新文档