文档库 最新最全的文档下载
当前位置:文档库 › 倒计时秒表

倒计时秒表

倒计时秒表
倒计时秒表

目录

1.设计要求 (1)

2.设计目的 (1)

3.设计方案 (1)

3.1方案讨论和设计 (1)

3.2硬件电路设计 (1)

3.3软件程序设计 (2)

4.方案实施 (6)

4.1单片机简介 (6)

4.2 LCD液晶显示 (6)

5.软件调试 (9)

6.设计心得 (9)

7.参考文献 (9)

7.附件 (10)

摘要:

单片机是在一块芯片上集成了微处理器,存储器和各种输入、输出接口的集成芯片,主要应用与测量及控制领域。随着计算机的普及,单片机也有了长足的发展,本设计就是运用STC89C52单片机来实现倒计时,采用了软件延时1秒的程序设计和动态液晶显示的查表程序设计,硬件电路采用液晶显示器与单片机经过限流电阻直接相连的设计思路

1. 设计要求

1、可以实现正常秒表的所有功能,包括启动,暂停,复位等

2、可以自由设定倒计时时间(10s,20s,30s....),并进行倒计时

3、显示方式自选

4、任选一款51单片机

5、扩展功能:在秒表基础上增加时钟功能;倒计时完成时加入报警单元,如声音,灯光等。

2.设计目的

1熟悉整个项目的流程即单片机系统设计过程

2 学会使用各种仿真软件

3熟练的使用汇编语言编写小的应用程序

4 掌握系统的调试与安装

5提高学生的自学能力和动手能力

3.设计方案

3.1方案讨论和设计和主要任务

1)方案讨论和设计:倒计时数字秒表的设计主要考虑以下几个问题:一,液晶显示器如何显示数字0—9及文字;二,如何用单片机来控制液晶显示器的显示;三,单片机最小模式下的设计。处理好这些问题此设计才能完整,为此必须先了解液晶显示器

的显示原理和接线方法,再了解单片机的组成原理和控制方法。硬件电路的绘制和软件程序的编写是此次设计的关键和基础,只有硬件电路的设计是正确的、合理的,软件设计才可以根据硬件电路编程,以下的设计才能够进行。

2)主要任务:软件的调试和烧录

3.2硬件电路设计

为了在硬件上节省东西,我们选择了让单片机的P2.4接上限流电阻接通数码管来显示十位数字,P2.5接上限流电阻接通数码管来显示个位数字;通过软件编程来控制单片机的P2.0-P2.3来控制数码管显示的数字;最小系统的设计是指给单片机供上+5V的电源电路、12M的晶振电路、上电复位电路等等。本设计中这些都得以体现并且经过计算得知图中的各种数据的,例如有单片机的复位必须要两个机器周期才能实现复位的理解,可以大致的按公式RC>2t来计算电阻R、电容C,其中t为一个机器周期,在本设计中t=1us。

图2-1 硬件电路原理图

3.3软件程序设计一.

二.源程序

程序是按照上图所示的流程图编写的,A的进栈保存和出栈恢复是很重要的,没有它的存在就不会有倒计时倒计的功能的实现。

#include

#include

#define uchar unsigned char

sbit rs=P3^0;

sbit rw=P3^1;

sbit en=P3^2;

sbit tiao=P1^0;

sbit kaishi=P1^1;

sbit fanhui=P1^2;

sbit fm=P1^3;

sbit zanting=P3^4;

uchar num,miao,jishu;

uchar tmiao,zz=0;

//********************延时程序**************************

void Delay1ms(uchar count)

{

uchar i,j;

for(i=0;i

for(j=0;j<120;j++);

}

//*********************写液晶命令程序****************

void write_com(uchar com)

{

rs=0;

rw=0;

en=0;

Delay1ms(2);

P2=com;

Delay1ms(4);

en=1;

Delay1ms(4);

en=0;

}

//*********************写液晶数据程序*********************

void write_data(uchar dt)//bu neng yong data

{

rs=1;

rw=0;

en=0;

Delay1ms(2);

P2=dt;

Delay1ms(4);

en=1;

Delay1ms(4);

en=0;

}

//**********************液晶初始化程序*********************** void initial_lcd()//hen yong yiwang ji gai bufen

{

Delay1ms(20);

write_com(0x38);//16*2xianshi ,5*7xianshi,8weishiju jie kou Delay1ms(5);

write_com(0x0c); //kaixianshi,bu xianshiguangbiao

Delay1ms(5);

write_com(0x06);//xueyige zi fu hou ac jia 1

Delay1ms(5);

write_com(0x01) ;

}

//***********************定时器0定时1S****时钟程序************************ void time0(void) interrupt 1 using 0

{

TH0=0x3c;

TL0=0xb0;

jishu++;

if(jishu==20)

{

jishu=0;

miao++;

}

}

//***********************定时器1蜂鸣器************************

void time1(void) interrupt 3 using 0

{

TH1=0xff;

TL1=0x44;

fm=~fm;

}

//**********************拆分显示显示程序*************

void chaixian(uchar xmiao)

{

uchar xmiao1,xmiao2,xmiao3;

xmiao1=xmiao/100;

xmiao2=xmiao%100/10;

xmiao3=xmiao%10;

write_com(0x80+0x04);

write_data('n');

write_data('u');

write_data('m');

write_data(0x30+num);

write_com(0x80+0x0a);

if(xmiao==100)

{

write_data(0x30+xmiao1);

write_data(0x30+xmiao2);

write_data(0x30+xmiao3);

}

if(xmiao<100)

{

write_com(0x80+0x0c);

write_data(0x00);

write_com(0x80+0x0a);

write_data(0x30+xmiao2);

write_data(0x30+xmiao3);

}

}

//******************************定时程序******************************* void dingshi(uchar i)

{

write_com(0x01);

TR0=1;

while(miao-1!=i)

{

tmiao=i-miao;

chaixian(tmiao);

if(zz==1)

{

break;

}

if(fanhui==0)

{

miao=0;

jishu=0;

write_com(0x01);

write_com(0x80+0x02);

write_data('T');

write_data('i');

write_data('a');

write_data('o');

write_data(0x00);

write_data('M');

write_data('o');

write_data(0x00);

write_data('S');

write_data('h');

write_data('i');

break;

}

}

if(zz==0&&(miao-1)==i)

{

TR1=1;

write_com(0x01);

write_com(0x80+0x04);

write_data('T');

write_data('i');

write_data('m');

write_data('e');

write_com(0x80+0x09);

write_data('E');

write_data('n');

write_data('d');

while(fanhui==1)

{

}

TR1=0;

miao=0;

jishu=0;

write_com(0x01);

write_com(0x80+0x02);

write_data('T');

write_data('i');

write_data('a');

write_data('o');

write_data(0x00);

write_data('M');

write_data('o');

write_data(0x00);

write_data('S');

write_data('h');

write_data('i');

}

TR0=0;

}

//******************************键盘扫描程序*************************** void keyscan()

{

uchar num1;

if(tiao==0)

{

Delay1ms(10);

if(tiao==0)

{

num++;

if(num==6)

{

num=0;

}

if(num==1)

{

write_com(0x01);

write_com(0x80+0x04);

write_data('n');

write_data('u');

write_data('m');

write_data(0x30+num);

write_com(0x80+0x0a);

write_data(0x30+1);

write_data(0x30+0);

}

if(num==2)

{

write_com(0x01);

write_com(0x80+0x04);

write_data('n');

write_data('u');

write_data('m');

write_data(0x30+num);

write_com(0x80+0x0a);

write_data(0x30+2);

write_data(0x30+0); }

if(num==3)

{

write_com(0x01);

write_com(0x80+0x04);

write_data('n');

write_data('u');

write_data('m');

write_data(0x30+num);

write_com(0x80+0x0a);

write_data(0x30+3);

write_data(0x30+0); }

if(num==4)

{

write_com(0x01);

write_com(0x80+0x04);

write_data('n');

write_data('u');

write_data('m');

write_data(0x30+num);

write_com(0x80+0x0a);

write_data(0x30+5);

write_data(0x30+0); }

if(num==5)

{

write_com(0x01);

write_com(0x80+0x04);

write_data('n');

write_data('u');

write_data('m');

write_data(0x30+num);

write_com(0x80+0x0a);

write_data(0x30+1);

write_data(0x30+0);

write_data(0x30+0);

}

while(tiao==0)

{

}

}

}

if(kaishi==0)

{

Delay1ms(10);

if(kaishi==0)

{

while(kaishi==0)

{

}

switch(num)

{

case 1: num1=10;break;

case 2: num1=20;break;

case 3: num1=30;break;

case 4: num1=50;break;

case 5: num1=100;break;

}

if(zz==0)

{

uchar miao=0;

TR0=1;

dingshi(num1);

}

if(zz==1)

{

zz=0;

TR0=1;

dingshi(num1);

}

}

}

}

//*****************************暂停键***************************** void int1(void) interrupt 2 using 0

{

zz=1;

TR0=0;

while(zanting==0)

{

}

}

//**************************主程序******************************** void main()

{

TMOD=0x11;

TH0=0x3c;

TL0=0xb0;

TH1=0xff;

TL1=0x44;

IE=0x8e;

initial_lcd();

while(1)

{keyscan();

}

}

4. 方案实施

4.1单片机简介

单片机是把中央处理器 (CPU),存储器和输入输出接口电路等主要微型机部件集成在一块芯片上,因此称为单片机,主要用于测控领域。

设计中应用到的ATM89C51是Atmel公司生产的51系列单片机中的一个典型代表,

AT89C51引脚图

从图中可以看到AT89C51有P0、P1、P2、P3四个输出输入口,其中P3.2口接开关用来控制数码管显示的起停,当开关闭合时P3.2为低电平可以控制数码管的显示,当

P3.2为高电平时可以关闭数码管或显示实时时间。其中+5V的高电平有电源电路提供,晶振电路是接到18、19管脚上用来给单片机提供12M赫兹的脉冲,复位电路接成上电复位电路的形式。

4.2 动态LCD液晶显示器显示

?液晶是人机交互最重要的通道,液晶不光要显示文字信息,还要显示波形信息,所以,编写一套完善的函数库是必不可少的,其中应该包括显示ASCII码、字符串、整型数字、浮点数、汉字、画点、画线等一系列函数。

?上层函数的建立离不开底层的驱动,最底层驱动应该是建立在液晶基本时序与指令的基础上。如图1,是液晶模块的基本时序图。

图1 DMF5001液晶模块基本时序图

根据时序图和控制指令,不难写出基本的读写函数。这些函数就是构建上层的基础。之后,还必须了解液晶的基本显示方式和充填方式。如图2,是液晶模块的缓冲区与显示屏的映射关系。

T6963控制芯片内部有64KB的缓冲区,可以由程序划分为图形、文本、文本特征3类缓冲区,在不同缓冲区里写入不同数据,在液晶屏上将映射相应的信息,这也就是液晶模块显示信息的原理。

图2 DMF5001液晶映射方式

因为T6963内部含有ASCII码字库,所以要想显示字符信息,只需在文本区内填入相应的信息即可。

如果要显示汉字或图形,则必须先在单片机内部的ROM区建模,然后将这些信息写入液晶的图形缓冲区,在液晶控制模块的控制下,相应的信息就会映射在显示屏上,也就是我们看到的汉字或图形信息了。

如果要实时显示AD采集的波形图以及FF T处理后的频谱图,这里将就动态波形显示用到的技术加以详细介绍。

波形的显示离不开“点”的显示,所谓“点动成线”也就这个道理,对于只有黑白两级灰度的液晶来说,画一个“点”就是将一个像素点亮。所以我们根据时序图,先建立在LCD屏上显示“点”的底层函数。在液晶屏上绘制“点”,有两点需要注意,一是缓冲区空间的大小,二是像素的充填方式。在DMF5001液晶模块中,“点”的绘制需要在图形缓冲区中进行。对于160×128像素的显示屏,图形缓冲区一共占用

(160×128)/8=2560字节的空间,每一个字节对应一个地址,也就是一共有2560个地址。考虑到DMF5001图形的充填方式是从上到下,横向填充,加上控制指令本身就支持对一个像素亮灭的控制。所以很容易根据缓冲区的地址,控制液晶屏上某一个点的亮灭,也就是所谓的画“点”了。

5.软件调试及调试方法

1) 启动keil uVision,编写倒计时汇编语言程序,然后点击Project菜单——〉New project ,新建一个工程,接着选择CPU类型,我们选择最常用的ATM89C51。

2)在工程中加入文件。新建一个文件(倒计时.c)保存,汇编语言文件建好后把文件加入到工程中。

3)编译工程及文件,发现错误更改后再重新编译文件,直到没有错误并且产生了xxx.hex 的文件。

4)用单片机仿真软件Protues来仿真此次设计的单片机是否能够完成设计的要求,仿真图如下所示

XTAL2

18

XTAL1

19

ALE 30EA

31

PSEN 29RST

9

P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.0/T2

1P1.1/T2EX/SS 2P1.2/ECI 3P1.3/CEX04P1.4/CEX1

5P1.5/CEX2/MISO 6P1.6/CEX3/SCK 7P1.7/CEX4/MOSI 8

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD

17

P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1

AT89C51RB2

设置

开始

复位

暂停

LS1

SOUNDER

D 7

14

D 613D 512D 411D 310D 29D 18D 07

E 6

R W 5R S 4V S S 1V D D 2V E E

3

LCD1

LM016L

6. 心得体会

通过本次设计,使我认识和了解了基本的单片机设计的开发及仿真过程,我学到: 1、经过理论联系实际,加深了对单片机和模拟电路基础知识的理解及应用,学会了2位数码管各个管脚的分布和接法,熟识了硬件驱动液晶显示器动态显示的基本原理和程序编写,提高了将单个电子器件组合到一起构成所需电路系统的能力;

2、在绘制电路图和仿真图的过程中,巩固了自动化专业最基本软件要求,提高了对Protues 单片机仿真软件及汇编程序编写软件keil uVision3的认识;

单片机是很重要的一门课程,老师和一些工作的朋友都曾说过,如果学好一门单片机,就凭这个技术这门手艺找一个好工作也不成问题。尽管我们在课堂学到的内容很有限,但在以后的学习中单片机还需要好好的深入研究和学习,学好了单片机也就多了一项生存的本钱。最后感谢老师对我们的精心指导和帮助,感谢同学们对我的帮助。

本次的课程设计,培养了我综合应用单片机设计课程及其他课程的理论知识和理论联系实际,应用生产实际知识解决工程实际问题的能力;在设计的过程中还培养出了我们的团队精神,同学们共同协作,解决了许多个人无法解决的问题;在今后的学习过程中我们会更加努力和团结。

但是由于水平有限,难免会有错误,还望老师批评指正。

7. 参考文献

张毅刚《单片机原理及应用》高等教育出版社 2010年5月第二版

实验二60秒倒计时电路设计的实验报告

实验二60秒倒计时电路设计的实验报告 一、实验目的 1.进一步熟悉Quartus II混合层次化设计方法。 2.学习7段数码管的驱动设计方法。 二、实验内容 60秒倒计时电路如图1所示。其中,模块cnt_d60完成60倒计数,输出结果为2位十进制BCD码。模块SCNA_LED完成BCD码到7段数码管显示译码功能。 图1 60秒倒计时电路 图2 60秒倒计时底层电路 60倒计数模块cnt_d60底层电路如图2所示。主要由2片74192(双向十进制计数器)

构成。 模块cnt_d60和SCNA_LED的源设计文档(cnt_d60.bdf和SCAN_LED.vhd)提供给大家。要求大家建立新工程,为模块cnt_d60和SCNA_LED新建封装(*.bsf),并根据图1完成顶层60秒倒计时电路设计。 完成以上程序设计,编译时器件选择Cyclone系列的EP1C12Q240C8。引脚锁定参考表1内容。注意:应把未分配管脚置为三态输入,切记!! 表1 实验连线 1.原理图设计输入 (1)首先将模块cnt_d60和SCNA_LED的源文件放在等一下需要建立的文件中,打开QuartusII软件。 (2)选择路径。选择File/New Project Wizard。添写后以后,单击“NEXT”进入下一步。(3)添加设计文件,在File name中选择路径然后添加模块cnt_d60和SCNA_LED的源文件,点击“Next”。 (4)选择FPGA器件。Family选择Cyclone,先在Packge选择Any QFP,Pin Count 选择240,Speed grade选择8;然后在Available device中选择EP1C12Q240C8,点击“Next”。 (5)选择外部综合器、仿真器和时序分析器。设置好后,单击“NEXT”进入下一步。(6)结束设置。“工程设置统计”窗口,列出了工程的相关设置情况。最后单击“Finish”,结束工程设置。 (7)建立原理图文件。点击cnt_d60文件,然后点击File/Crete/Update/Create Symbol Files For Current file以新建原理图封装文件方式,然后以同样的方式创建原理图SCNA_LED封装文件,文件格式都为*bdf。保存原理图文件。选择File/Save As…菜单,存为testone文件,选择Edit/Insert Symbol…(或直接双击原理图空白处)打开元器件库窗口,选择合理的器件(封装好的cnt_d60文件和SCNA_LED文件都在里面)按图1完成60秒倒计时电路原理图设计,完成后选择File/Save…保存原理图。(8)综合编译。编译之前,打开原理图文件,选择Project/Set as Top-Level Entity,以确保当前编译的文件为顶层的实体文件。然后选择Processing/Start Compilation,进行综合分析,直至编译通过为止。 (9)保护设计中没有使用到的引脚。对于FPGA芯片(包括EP1C12Q240C8),在做Quartus II工程时必须将未分配的管脚置为三态输入。选择Assignments\Device… 打开工程设置窗口。在Category中选择Device项,然后在Available Devices栏中,选中EP1C12Q240器件,再单击Device & Pin Options…按钮,在弹出窗口(中选择Unused Pins栏,然后设置Reserve all unused pins为AS input tri-stated。推荐把未分配管脚置为三态输入。如未将未分配管脚置为三态输入,将可能导致主芯片或外围芯片损坏,切记!!

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

软件延时实现60秒计时器

一、实验任务 如下图所示,在A T89S51单片机的P0和P2端口分别接有两个静态共阴数码管,P0口驱动显示秒时间的十位,而P2口驱动显示秒时间的个位。 二、电路原理图 图11.1 三、硬件连线 参照教程十的方法完成硬件连线(只是去掉按键部分)。 四、程序设计内容 1在设计过程中我们用一个存储单元作为秒计数单元,当一秒钟到来时,就让秒计数单元加1,当秒计数达到60时,就自动返回到0,从新秒计数。 2对于秒计数单元中的数据要把它十位数和个数分开,方法仍采用对10整除和对10求余。 3在数码上显示,仍通过查表的方式完成。 4一秒时间的产生在这里我们采用软件精确延时的方法来完成,经过精确计算得到1秒时间为1.002秒。 DELY1S: MOV R5,#100 D2: MOV R6,#20 D1: MOV R7,#248 DJNZ R7,$

DJNZ R6,D1 DJNZ R5,D2 RET 五、程序框图 图11.2 六、汇编源程序 Second EQU 30H ORG 0 START: MOV Second,#00H NEXT: MOV A,Second MOV B,#10 DIV AB MOV DPTR,#TABLE MOVC A,@A+DPTR MOV P0,A MOV A,B MOVC A,@A+DPTR MOV P2,A LCALL DELY1S INC Second MOV A,Second CJNE A,#60,NEXT LJMP START

DELY1S: MOV R5,#100 D2: MOV R6,#20 D1: MOV R7,#248 DJNZ R7,$ DJNZ R6,D1 DJNZ R5,D2 RET TABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH END 七、C语言源程序 #include unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66, 0x6d,0x7d,0x07,0x7f,0x6f}; unsigned char Second; void delay1s(void) { unsigned char i,j,k; for(k=100;k>0;k--) for(i=20;i>0;i--) for(j=248;j>0;j--); } void main(void) { Second=0; P0=table[Second/10]; P2=table[Second%10]; while(1) { delay1s(); Second++; if(Second==60) { Second=0; } P0=table[Second/10]; P2=table[Second%10]; } }

电子秒表课程设计

课程设计 题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目:电子秒表的设计与制作 初始条件: (1)计数精度可达1/100秒 (2)可显示时间99.99秒 (3)具有开关可启动,暂停,清零功能 选作:设计可改变计时时间(最大59.99秒)的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~1月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

目录 摘要 (4) 电子秒表的设计与制造 (5) 1 课题分析 (5) 2系统设计方案的选择 (5) 3 电子秒表系统主体流程框图 (6) 4 单元电路的设计 (7) 4.1脉冲产生电路 (7) 4.2 计数电路 (8) .3 译码显示电路 (9) 4.4 控制电路 (10) 5 仿真测试 (10) 6 电子秒表设计原理图 (11) 7 结束语 (11) 参考文献 (12) 附录 (13) 附录一:选作:设计可改变计时时间的电路 (13) 附录二:74LS290功能表 (15) 附录三:74LS48功能表 (15)

摘要 电子秒表是一种数字显示计时装置,由于它走时准,设计简单,显示直观,因此被广泛运用于科学研究,体育运动,国防等方面。比如对物体速度,加速度的测量,体育比赛的时间的测量等。 数字电子秒表由组合逻辑电路和时序逻辑电路组成,555定时器组成多谐振荡器产生脉冲,在脉冲控制下的组合计数器电路通过一系列的触发产生数字信号,数字信号经译码器译码后输入到显示数码管显示时间。 电子秒表的广泛应用提高了人们的工作效率,随着电子技术的发展,电子秒表的精度,电路简易型等到了很大的提高,功能得到了完善。 关键词:秒表定时器效率

倒计时秒表课程设计

倒计时秒表课程设计

目录 一.设计目的 (1) 二.设计要求 (1) 三.总体设计 (1) 设计方案 (1) 硬件电路设计 (1) 1)C P U部分 (1) 2)晶振电路部分 (2) 3)L C D显示 (3) 4)键盘及蜂鸣器部分 (3) 软件程序设计 (4) 四.方案实施 (6) 单片机简介 (6) 4.2动态L C D液晶显示器显示 (6) 4.3 软件调试及调试方法 (8) 五.课程设计总结 (10) 六.参考文献 (10) 七.附件 (11) 源程序 (12) 总体电路图 (22)

一.设计目的 1熟悉整个项目的流程即单片机系统设计过程 2 学会使用各种仿真软件 3熟练的使用汇编语言编写小的应用程序 4 掌握系统的调试与安装 5提高学生的自学能力和动手能力 二.设计要求 1)可以实现正常秒表的所有功能,包括启动,暂停,复位等 2)可以自由设定倒计时时间(10s,20s,30s....),并进行倒计时(10s,20s,30s....) 3)显示方式自选 4)任选一款51单片机 5)扩展功能:在秒表基础上增加时钟功能;倒计时完成时加入报警单元,如声音,灯光等 三.总体设计 设计方案 1)方案讨论和设计:倒计时数字秒表的设计主要考虑以下几个问题:一,LCD液晶显示器如何显示数字0—9;二,如何用单片机来控制LCD的显示;三,单片机最小模式下的设计。处理好这些问题此设计才能完整,为此必须先了解LCD的显示原理和接线方法,再了解单片机的组成原理和控制方法。硬件电路的绘制和软件程序的编写是此次设计的关键和基础,只有硬件电路的设计是正确的、合理的,软件设计才可以根据硬件电路编程,以下的设计才能够进行。 2)主要任务:软件的调试和烧录 硬件电路设计 1)CPU部分 口是“调模式”num 10,num20,num30,num50,num100 口是“开始”倒计时端口 口是“关闭”(返回)轰鸣器口,在定时可以返回到模式状态。 口是给轰鸣器送触发信号口 口是“暂停”口

倒计时秒表 单片机

目录 一、设计目的 (1) 二、设计任务及要求 (2) 三、总体方案设计 (2) 四、硬件电路设计 (3) 1、各部分电路设计 (3) 2、整体电路图 (8) 五、软件设计 (9) 1、程序流程图 (9) 2、源程序 (9) 六、仿真效果 (9) 1、显示部分仿真效果 (9) 2、报警装置仿真效果 (10) 七、实物调试 (11) 八、设计总结 (11) 1、设计过程中遇到的问题及解决方法 (11) 2、设计体会 (12) 3、对设计的建议 (12) 参考文献 (13) 附录 (14)

一、设计目的 单片机课程设计中通过查阅资料、接口设计、程序设计、安装调试等环节,完成AT89S-51单片机多种资源应用并具有综合功能的小系统目标板的设计与编程应用,是同学们将课堂上学到的理论知识与实际应用结合起来,并能够对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,增进对单片机的感性认识,加深对单片机理论方面的理解,掌握单片机的内部功能模块的应用,如定时器/计数器、中断、片内外存贮器、I/O口、串行口通信等,强化单片机应用电路的设计与分析能力,提高学生在单片机应用方面的实践技能和科学作风,培育学生综合运用理论知识解决问题的能力,实现理论结合实际,学以至用的原则。运用所学的知识和自身课外的拓展学习加深对专业课的理解和学习,锻炼综合运用电路设计及相关电子仪器、单片机软硬件结合的理论,结合生产实际分析和解决工作工程实际问题的能力,加固、加深和扩展有关电子类,汇编语言,相关电子电路和仿真软件方面的知识和能力。 通过本次课程设计,应加强培养如下能力: (1)加强自身独立的动手能力和思考解决问题的能力,提高创造能力。 (2)学会使用软件Proteus画原理图和仿真调试。 (3)通过本次课程设计加深对单片机课程的全面认识复习和掌握,对单片机课的应用进一步的了解。 (4)掌握定时器、外部中断的设置和编程原理。 (5)通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。 (6)该设计通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统,拥有正确的计时、暂停、清零功能,并同时可以用数码管显示,在现实生活中应用广泛,具有现实意义。

60秒加计时电路

课程设计报告____2010/2011 学年第一学期 课程名称:电子工艺实习 题目:60秒加计时电路 院系:计算机与信息学院电子工程系专业班级:电子信息工程081801 学号: 姓名: 指导教师: 完成日期:2010-12-15

目录 目录 (2) 前言 (3) 内容 (3) 一、实验目的 (3) 二、实验要求 (3) 三、实验器件 (3) 四、实验原理 (4) 五、调试及测试结果分析 (5) 六、实验小结或体会 (6) 附图1: (7)

前言 随着信息时代信息的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分,特别是各种竞技运动中,计数器器成为运动员成绩的一个重要工具。 一、实验目的 1.根据原理图分析各单元电路的功能; 2.熟悉电路中所用到的各集成块的管脚及其功能; 3.进行电路的装接,调试,直到电路达到规定的设计要求; 4写出完整,详细的设计报告。 二、实验要求 1、具有显示60秒可加计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、计时器为60秒递增计时器。 三、实验器件

四、实验原理 1、方案总体设计 60秒可加计时器的方案框图如下图所示。它包括秒脉冲发生器、计数器、译码显示电路、控制电路等模块组成。其中计数器和控制电路是系统的主要模块。计数器完成60秒计时功能,而控制电路完成计数器饿直接清零,启动计数、暂停/连续计数,译码显示电路功能。 秒脉冲发生器产生的的信号是电路的时钟脉冲和定时标准,但本设计对信号要求不太高,故电路采用555集成电路构成。 译码显示电路由74LS48和共阴极七段LED显示器组成。 2、计时电路的组成 设计中通过两片74LS192的级联来实现一个60进制的计数器。当低位片从0跳到9时,高位片进位加一,直到实现60秒的计数功能。计数电路的核心是置数部分。因为本设计要求从0到60,所以本设计中预置数置为0000和0000即可,又由于到60要清零,所以在十位输出端加个与非门使其到60则自动预置0从而达到实验要求。 在设计中我们选择的是同步加/减计数器74LS192。它是双时钟同步可逆计数器,是8421BCD码计数,其详细引脚图及功能表如下:

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

单片机倒计时秒表课程设计

单片机倒计时秒表 课程设计

课程设计说明书 课程名称:单片机技术 设计题目:倒计时数字秒表设计院系: 学生姓名:张三 学号: ********* 专业班级: *********** 指导教师:李四 年月日

课程设计任务书 倒计时秒表

摘要:本次课程设计以AT89S52单片机为核心设计一个倒计时数字秒表,计数初值为59并开始每秒自动减1,当按键1按下时记录当前时间值,当按键2按下时显示当前记录值,显示过之后再次按下按键1时秒表复位为59。本设计硬件部分包括电源电路、复位电路、按键电路、振荡电路、数码管显示电路五部分电路,软件程序部分有定时中断程序、外部中断程序、显示子程序和延时子程序等。软件Proteus画出原理图并进行仿真,依照仿真成功的原理图接线,在万能版上把个个器件焊接好从而实现预期的功能。 关键词:倒计时 AT89S52 74LS47 数码管 目录

1.设计背景 (5) 1.1、设计课题的提出 (5) 1.2、设计作用及意义 (5) 2.设计方案 (6) 2.1、可行方案选择 (6) 2.2、可行方案比较 (6) 3.方案实施 (7) 3.1、硬件电路的实施 (7) 3.2、软件程序的实施 (11) 4.结果与结论 (13) 4.1、Proteus仿真运行结果 (13) 4.2、结论 (14) 5.收获与致谢 (14) 6.参考文献 (14) 7.附件 (15) 7.1、附件一(整体电路图) (15) 7.1、附件二(元件清单图) (16) 7.1、附件三(程序) (17) 7.1、附件四(运行实物图) (22) 1. 设计背景

00-60秒表说明书

编号: 2 微机综合实践说明书 题目: 学院: 专业: 学生姓名: 学号: 指导教师单位: 指导老师:

目录 一、摘要 二、前言 2.1、设计任务及功能简介 (1) 2.2、设计项目应用及意义 (1) 三、总体方案设计 3.1、方案设计 (1) 3.2、元器件清单 (2) 四、电路原理图设计 4.1、总体电路图 (2) 4.2、复位电路设计 (3) 4.3、晶振输入电路设计 (3) 4.4、液晶显示电路 (4) 4.5、开关电路 (4) 五、系统硬件设计及说明 5.1、硬件总体设计方案 (4) 5.2、并行I/O口P0~P3结构与设计 (5) 5.3、相关硬件说明 (6) 5.4、定时/计数器工作原理 (10) 六、系统软件设计及说明 6.1、总体设计方案 (13) 6.2、程序流程图 (13) 6.3、系统程序 (15) 七、我的工作---Proteus软件仿真 7.1、软件仿真总体步骤 (15) 7.2、在PROTEUS中设计出相应的硬件电路 (16) 7.3、用keil软件生成HEX文件 (16) 7.4、烧录程序仿真 (17) 八、课程设计总结 (18) 九、附录---秒表汇编程序 (19)

一、摘要 随着电子技术的飞速发展,电子技术在相关领域的运用也是越来越广泛,人们对它的认识也相应的增加。常用于各种体育赛事以及各种要求精确时间的领域就要用到秒表计时器,秒表计时器开关的使用方法与传统的计时器相同,也就是按一下开关就开始计时,再按一下就停止,操作很是简单。而复位开关可以在任何情况下使用,即使是正在计时,只要你按下复位键,计时就立即终止而且对秒表的时间清零。这个课程设计就是利用所学到的电子元器件将脉冲源用液晶显示屏显示出来,以达到制作简易秒表的目的。除此之外,此次设计还扩展了很多内容,比如倒计时设定,可以设定时间进行倒计时。此设计可以应用到倒计时控制系统,进行定时控制等。 [关键词] 启/停开关复位按键液晶显示倒计时

数字式电子秒表设计

1设计目的 电子技术课程设计是电子信息工程系三个专业的公共课程设计,是模拟电子 技术、数字电子技术课程结束后进行的教学环节。其目的是: 1、培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。 2、学习较复杂的电子系统设计的一般方法,提高基于模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调 试。 3、进行基本技能训练,如基本仪器仪表的使用,常用元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 4、培养学生的创新能力。 2设计要求 1.秒表由2位七段LED显示器显示,其中1位显示“ s” ,二位显示“ 0.1s ”,显示分 辩率为0.1 s; 2.计时最大值为9.9s; 3.计时误差不得超过1s; 具有清零、启动计时、暂停计时及继续计时等控制功能; 4.主要单元电路和元器件参数计算、选择; 5.画出总体电路图; 6.安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完毕后,应对照电 路图仔细检查,看是否有错接、漏接、虚焊的现象。 7.调试电路 8.电路性能指标测试 9.提交格式上符合要求,内容完整的设计报告

3总体设计 3.1工作流程图 图1工作流程图 图1中1单元为用集成与非门74LS00构成的基本RS触发器。属低电平直接触发的触发器,有直接置位,复位的功能。 图1中2单元为集成与非门74LS00构成的单稳态触发器,它的职能是为计数器提供清零信号。 图1中555定时器构成了多谐振荡器,是一种性能较好的时钟源。 图1中4单元74LS160构成的计数器/分频器 图1中5单元译码显示单元 3.2原理图: 图1为电子秒表的原理图,按功能可分为5个单元电路进行分析。 其中单元1为基本RS触发器; 单元2为单稳态触发器; 单元3为时钟发生器; 单元4为计数器和分频器; 单元5为译码显示单元。

倒计时秒表

单片机课程设计课题:倒计时秒表 系别:电气与控制工程学院 专业: 姓名: 学号: 成绩: 河南城建学院 2018年01月3日

目录 一, 设计目的 (2) 二,设计任务及要求 (2) 三,方案设计 (2) 四,硬件设计 (3) 五,软件设计 (6) 六,仿真及调试 (6) 七,设计总结 (8) 参考文献 (9) 附录: (9)

一, 设计目的 通过课程设计,使自己深刻理解并掌握基本概念,掌握单片机的基本应用程序设计及综合应用程序设计的方法。通过做倒计时秒表这个题目,达到对所学知识的消化、理解并提高解决问题的能力的目的。 任选一款51单片机来做这个倒计时秒表,显示方式可以自选,成品必须可以实现正常秒表的所有功能,包括启动、暂停、复位等,可以自由设定倒计时时间,并进行倒计时。做好之后可以扩展功能,在秒表的基础上增加时钟功能,倒计时完成时加入报警单元,如声音、灯光等。 二,设计任务及要求 1、可以以实现正常秒表的所有功能,包括启动、暂停、复位等; 2、可以自由设定倒计时时间(10s 、20s 、30s ···),并进行倒计时; 3、显示方式自选; 4、任选一款51单片机; 5、扩展功能:在秒表的基础上增加时钟功能,倒计时完成时加入报警单元,如声音、灯光等。 三,方案设计 倒计时数字秒表的 设计主要考虑以下几个问题:一,LED 如何显示数字0—9;二,如何用单片机来控制LED 的显示;三,单片机最小模式下的设计。处理好这些问题此设计才能完整,为此必 须先了解LED 的显示原理和接线方 图1系统结构框图 单片机 蜂鸣器 LED 显示 键盘 复位 LED 显示

比赛倒计时设计

河南科技学院机电学院电子课程设计报告 题目:比赛倒计时器设计任务书 专业班级:应用电子技术教育111班 姓名:季传帅 时间:2013.12.9~2013.12.27 指导教师:张伟邵锋完成日期:2013年12月20日

比赛倒计时器设计任务书 1.设计目的与要求 设计一个倒计时牌。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)设计的倒计时牌,能直接显示时间; (2)能同时实现60秒计时,9秒暂停倒计时; (3)60秒计时结束有声音提示,9秒计时结束有灯光提示。 2.设计内容 (1)画出电路原理图; (2)元器件及参数选择; (3)电路仿真; (4)搭接所设计的电路完成设计功能。 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有总结体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录 1引言 (1) 2总体设计方案 (1) 2.1设计思路 (2) 2.2总体设计框图 (2) 3设计原理分析 (2) 3.1单元模块 (3) 3.1.1时钟模块 (3) 3.1.2报警电路模块 (3) 3.1.3倒计数器模块 (4) 3.1.4数码换显示模块 (5) 4总结与体会 (5) 参考文献 (6) 附录1实际电路图 (7) 附录2总体电路图 (8)

比赛倒计时器设计 摘要:本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛60秒计时器。此计时器功能齐全,可以直接置数、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、分频模块以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是以时钟产生,分频触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 关键词:比赛倒计时;控制;计时器;译码显示;555定时器 1引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中倒计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 本设计主要能完成:显示60秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为60秒递减计时其计时间隔为1秒;计时器递减计时到零时,同时发出光电报警信号等,当有触发信号时,实现9秒暂停倒计时。 整个电路的设计借助于Multisim10仿真软件和数字逻辑电路相关理论知识,并在Multisim10下设计和进行仿真,得到了预期的结果。 2总体设计方案 用555时基电路构成的多谐振荡器来产生频率为1Hz的脉冲,即输出周期为1秒的方波,再将该脉冲信号加到由74LS93构即周期为1秒,接着将该信号送到计数器74LS00的CP减计数脉冲端,再通过译码器4511BD把输入的8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,然后在适当的位置设置开关或控制电路即可实现计数器的直接清零,启动用第一种方案和暂停/连续、译码显示电路的显示与灭灯及声音报警等功能,声音报警用蜂鸣器来实现,蜂鸣器发声代表报警,电路图见图2。也可以用555构成的多谐振荡器直接产生频率为1Hz的秒脉冲,由于两

数字电子秒表设计总结报告1

数字电子秒表设计总结报告 一. 工作原理 本数字电子秒表设计由启动、清零复位电路、多谐振荡电路、分频计数电路、译码显示电路等组成。如下图所示: 启动清零复位电路主要由U6A 、U6B 、U7B 、U7D 组成,其本质是一个RS 触发器和单稳态触发器。J1控制数字秒表的启动和停止,J2控制数字秒表的清零复位。开始时把J1合上,J2打开,运行本电路,数字秒表正在计数。 当打开J1,合上J2键,J2与地相接得到低电平加到U6B 的输入端,U6B 输出高电平又加到U6A 的输入端,而U6A 的另一端通过电阻R15与电源相接得到高电平,(此时U6B 与U6A 组成RS 触发器),U6A 输出低电加到U7A 的输入端,U7A 被封锁输出高电平加到U5的时钟端,因U5不具备时钟脉冲条件,U5不能输出脉冲信号,因此U3、U4时钟端无脉冲而停止计数。当J1合上时,打开J2键,J1与地相接得到低电平加到U6A 的输入端,U6A 输出高电平加到 U6B

的输入端,U6B输出低电平加至U7B,使U7B输出高电平,因电容两端电压不能跃变,因此在R7上得到高电平加到U7D输入端,U7D输出低电平(进入暂态)同时加到U3、U4、U5的清零端,使得U3、U4的Q D---Q A输出0000,经U1、U2译码输出驱动U9、U10显示“00”。因为U7B与U7D组成一个单稳态电路,经过较短的时间,U7D的输出由低电平变为高电平,允许U3、U4、U5计数。同时U6A输出高电平加到U7A的输入端,将U7A打开,让555的3脚输出100KHZ的振荡信号经U7A加到U5的时钟脉冲端,使得U5具备时钟脉冲条件,U5的9、10、7脚接高电平,U5构成十分频器,对时钟脉冲计数。当U5接收一个脉冲时,U5内部计数加1,如果U5接收到第十个脉冲时,U5的15脚(RCO端)输出由低电平跳变为高电平作为U4的时钟脉冲,从而实现了对振荡信号的十分频,产生周期为0.1S的脉冲加至U4的时钟端。U4的9、10、7脚接高电平,当U4接收到来自U5的脉冲时,U4的Q D---Q A输出0001加到U2的DCBA端,经U2译码输出1001111经电阻R8~R14驱动数码管U10显示,此时数码管显示“1”,当U4计数到1001时,U4的15脚输出高电平接到U7C,经反相后得到低电平,加到U3的时钟脉冲端,U3A不具备时钟脉冲条件,当U4再接收一个脉冲时,U4的输出由1001翻转为0000,此时U4的15脚输出低电平通过U7C反相输出高电平,从而得到一上升沿脉冲加至U3的时钟端,使得U3的Q D---Q A输出0001加到U1的DCBA输入端,经U1译码输出100111,经电阻R1~R7驱动数码管U9,数码管显示“1”。如此循环的计数,最后数码管U9、U10显示最大值99即9.9秒。 由集成块555、电阻R19、R18、电容C1、C2组成多谐振荡器,当接通电源,电源通过电阻R19与R18对电容C2进充电,当UC2上升到2/3VCC时,集成块555的3脚输出低电平,内部三极管导通,C2通电阻R19进行放电,当UC2下降到1/3VCC时,内部三极管截止,集成块555的3脚输出高电平,接着电源又通过电阻R19与R18对电容C2进充电,当UC2上升到2/3VCC时,集成块555的3脚输出低电平,如此循环的充、放电,555的3脚输出100HZ的矩形方波信号加到U7A的输入端。

10秒倒计时器的电子课程设计要点

机械与电子工程学院 课程设计报告 课程名称数字电子技术课程设计设计题目10秒倒计时器的设计所学专业名称电子信息工程 班级 学号 学生姓名 指导教师 2014年6月3日

任务书 设计名称:10秒倒计时器的设计 学生姓名:指导教师: 起止时间:自2014 年 5 月21 日起至2014 年 6 月4 日止 一、课程设计目的 1).熟悉集成电路及有关电子元器件的使用; 2).了解计时器主体电路的组成及工作原理; 3).学习数字电路中基本555定时器、时钟发生器及计数、译码显示等单元电路的综合应用。 二、课程设计任务和基本要求 设计任务: 1).设计好完整的操作方案。 2).对电路的原理进行简要分析。 3).在Multisim仿真软件中绘制出完整仿真电路图。并且尽可能地使整 个电路简洁、整齐、一目了然。 4).对设计的电路进行调试,完成课程设计应达到的目的. 基本要求: 1)具有10秒倒计时功能; 2)设置外部操作开关,控制计时器的直接清零/复位、开始和暂停/连续计数功能; 3)计时器计时间隔为1秒; 4)计时器递减计时到零时,数码显示器不灭灯,保持并闪烁光电报警。 5计时器暂停计数时,数码管闪烁提醒;

指导老师评价表

目录 摘要与关键字........................................................................1 1倒计时器组成及原理................................................................ 1.1倒计时计数器组成.................................................... 1.2工作原理 (3) 2.拟定设计方案 (4) 2.1用Multisim进行仿真计 (4) 2.2设计实现数码管示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电5 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 3.功能说明总结………………………………………… 4.课程设计小结 (9) 参考文献 (10) 附录一 附录二

60秒计时器

单片机课程设计说明书 单片机课程设计说明书 题目: 00—60秒表设计学院:机电工程学院 专业:机械设计制造及其自动化学生姓名: xxx 学号: xxx 指导教师单位: xxx 姓名: xxx 2013年12月13日

摘要 60秒计时器以单片机为核心,由计时器,控制器等组成。系统采用模块化设计,主要分为计时器显示模块和按键控制模块。每个模块的程序结构简单,任务明确,易于编写、调试和修改。编程后利用Kcil软件来进行编译,在生成HEX文件装入芯片中,在通过调试实现60s计时功能。本设计中系统硬件电路主要是由以下几个部分组成:单片机AT89C51、振荡电路、显示电路和按键开关。该系统具有60s内准确计时和计时清零的功能。 关键字:单片机,计时,显示,60s计时,复位清零

前言 我们的任务是设计60s秒表计时器,用AT89C51单片机的定时/计数器T0产生一秒的定 时时间,作为秒计数时间,当一秒产生时,秒计数加1,秒计数到60时,自动从0开始,实现0到60秒的循环显示的功能。 现代计时器是用数字集成电路做成的现代计时器,与传统的机械钟相比,走时准确、显示直观(有荧光七段数码显示器)、无机械传动装置等优点。而且钟表的数字化给人 们生产生活带来了极大的方便。广泛用于个人家庭,车站,码头、办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英振荡器的广泛 应用,使得数字计时表的精度远远超过老式钟表,钟表的数字化给人们生产生活带来 了极大地方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、校时自 动打铃、时间程序自动控制、定是广播、自动启闭路灯、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字计时器及扩大其应用有着非常现实的意义。 一.概述 1.1课程设计的任务与目的 课程设计任务: 用AT89S51单片机的定时/计数器T0产生一秒的定时时间,作为秒计数时间,一秒产生时,秒计数加1,秒计数到60时,自动从0开始。额外拓展,一 个按键,实现从0开始重新计时。 课程设计目的: 课程设计是单片机课程教学的最后一个环节,是对学生进行全面的系统的 训练,进行课程设计可以让学生把学过的比较零碎的知识系统化,真正的能够把学过的知识落到实处,能够开发简单的系统,也进一步激发了学生再深一步 学习的热情,因此课程设计是必不可少的,是非常必要的。 课程设计是提高学生单片机技术应用能力以及文字总结能力的综合训练环节,是配合单片机课程内容掌握应用得的专门性实践类课程,通过典型实际问题的 实际,训练学生的软硬件的综合设计、调试能力以及文字组织能力,建立系统 设计概念,加强工程应用思维方式的训练,同时对教学内容做一定的扩充。 通过课程设计,使自己深刻理解并掌握基本概念,掌握单片机的基本应用程序设计及综合应用程序设计的方法,通过做一个综合性训练题目,达到对内容 的消化、理解并提高解决问题的能力的目的。 1.2、总体方案设计

数字电子技术课程设计电子秒表精选版

数字电子技术课程设计 电子秒表 Document serial number【KKGB-LBS98YT-BS8CB-BSUT-BST108】

数字电子技术课程设计报告题目:电子秒表的设计 专业: 班级: 姓名: 指导教师: 课程设计任务书学生班级:学生姓名:学号: 设计名称:电子秒表的设计 起止日期: 指导教师:周珍艮

目录 绪论- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 4 第一章、设计要求

设计任务及目的- - - - - - - - - - - - - - - - - - - - - - -- - - - - - - - -5 系统总体框图- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 、设计方案分析- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -6 第二章、电路工作原理及相关调试 电路工作原理- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 7 相关调试- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- 11 第三章、实验总结 附录A 电子秒表原理图 附录B 相关波形 元件清单 参考文献 绪论 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,掌握必要的电工电子知识已经成为当代大学生特别是理工类大学生必备的素质之一。 电子秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、二一五一十进制异步加法记数器、数据选择器和显示

相关文档
相关文档 最新文档