文档库 最新最全的文档下载
当前位置:文档库 › 元件例化

元件例化

元件例化
元件例化

元件声明是对VHDL模块(即底层设计,也是完整的VHDL设计)的说明,使之可在其他被调用,元件声明可放在程序包中,也可在某个设计的构造体中声明。

元件例化指元件的调用。元件声明及元件例化的语法分别如下:

元件声明:

component〈元件实体名〉

prot(〈元件端口信息,同该元件实现时的实体的port部分〉);

end compnent;

—元件例化:

〈例化名〉:〈实体名,即元件名〉port map(〈端口列表〉);

例如,在一个设计中调用一个模为10的计数器cntm10和一个七段译码器decode47构成如下电路,则该调用过程孥即元件例化。

VHDL描述如下:

library ieee;

use ieee.std_logic_1164.all;

entity cntvh10 is

port (rd, ci, clk : in std_logic;

co : out std_logic;

qout : out std_logic_vector (6 downto 0));

end cntvh10;

architecture arch of cntvh10 is

Component decode47 is

port (adr : in std_logic_vector (3 downto 0);

decodeout : out std_logic_vector (6 downto0));

end Component;

—元件声明

Component cntm10 is

Port ( ci : in std_logic;

nreset : in std_logic;

clk : in std_logic;

co : out std_logic;

qcnt : buffer std_logic_vector (3 downto 0));

end Component;

signal qa: std_logic_vector (3 downto 0);

begin

u1 : cntm10 port map (ci, rd, clk, co, qa); —元件例化

u2 : decode47 port map ( decodeout=>qout, adr=>qa);

end arch;

元件例化时的端口列表可按位置关联方法,如u1,这种方法要求的实参(该设计中连接到端口的实际信号,如ci,等)所映射的形参(元件的对外接口信号)的位置同元件声明中的一样;元件例化时的端口列表也可按名称关联方法映射实参与形参,如u2。格式为(形参1=>实参1,形参2=>实参2,···)。这种方法与位置无关。

参数化元件可增加元件例化的灵活性。所谓参数化元件是指元件的规模(或特性)可以通过引用参数的形式指定的一类元件。例如,下面定义了一个位数可调的计数器:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

ues ieee.std_logic_unsigned.all;

entity cntnbits is

generic (cntwidth:integer:=4); —定义了一个可调参数

port ( ci : in std_logic;

nreset : in std_logic;

clk : in std_logic;

co : out std_logic;

qcnt : buffer std_logic_vector (3 downto 0));

end cntmbits;

architecture behave of antnbits is

constant allis1 : std_logic_vector (cntwidth-1 downto 0) := (others=>?1?);

begin

Co <=?1?when (qcnt=all is 1 and ci=?1?)else?0?;

Process (clk)

Begin

if (nreset=?0?)then

Qcnt<= (others=>?0?);

elsif (clk?event and clk=?1?)then

if (ci=?1?)then

Qcnt<=qcnt+1;

end if;

end if;

end process;

end behave;

可以算出,该计数器同第1部分的四位计数器相比,改动不大,其中在实体处增加了一行:

generic (cntwidth:integer:=4)

该行定义了一个整数cntwidth(计数宽度)并赋初值…4?,用它代替原来的固定的计数器长度,若想设计的计数器位数8位,仅需将cntwidth初值赋为8:

generic (cntwidth : integer :=8);

若以此计数器为元件,则元件声明为:

Component cntnbit is

generic (cntwidth:integer:=4);

port ( ci : in std_logic;

nreset : in std_logic;

clk : in std_logic;

co : out std_logic;

qcnt : buffer std_logic_vector (cntwidth-1 downto 0));

end Component;

元件例公时按如下格式:

〈例化名〉:〈实体名,即元件名〉

generic map(〈实际参数,如确定的总线宽度等〉)

port map(〈端口列表〉);

例如:例化为6位计数器:

u1:cntnbits generic map (6) port map (ci, Rd ,clk, co,qa );

在上述的可变位数计数器中,定义了一个常数“all is 1”用于产生进位输出信号时的判断。它的值为各位都为…1?。此处,用了(others=>?1?)赋值。(others=>?1?)表示一个集合,集合中的各元素用…,?格开。表示各元素都为…1?。Others必须出现在集合的最后。

例:signal : sa : std_logic_vector (7 downto 0);

sa<=(…1?, …0?, others=>?1?)

其结果是给信号sa赋值“1011 1111”。

常用元器件介绍

常用元器件介绍

1.1电阻 1.1.1功能:电阻器是电路元件中应用最广泛的一种,在电子设备中约占元件总数的30%以上,其质量的好坏对电路工作的稳定性有极大影响。它的主要用途是稳定和调节电路中的电流和电压,其次还作为分流器分压器和负载使用,见图1.1 1.1.2符号: 图1.1 1.1.3分类: 1)从材料分:碳膜电阻(用RT表示),金属膜电阻(RJ表示),氧化膜电阻(用RY表示),线绕电阻(用RX表示),水泥电阻(用RS表示)等。见图1.2 图1.2 2)从功率分:1/6W,1/4W,1/2W,1W,2W等,大功率电阻一般水泥材料,用作负载。 3)从精密度分:常用的精度为±0.5%、±1%、±2%,±5%等,下面误差等级的分类:见表1.1 允许误差±0.5%±1% ±2%±5%±10%±20% 级别005 01 02 ⅠⅡⅢ 表1.1 4)从功能分:有纯电阻、压敏电阻、热敏电阻(NTC 电阻,PTC电阻)、光敏电阻等 1.1.4色环阻值表示法:碳质电阻和一些1/8瓦碳膜电阻的阻值和误差用色环表示。在电阻上有三道或者四道色环。靠近电阻端的是第一道色环,其余顺次是二、

三、四道色环,第一道色环表示阻值的最大一位数字,第二道色环表示第二位数字,第三道色环表示阻值未应该有几个零。第四道色环表示阻值的误差。色环颜色所代表的数字或者意义见下表1.2: 色 别第一色环最大一位数字 第二色环第二位数字 第三色环应乘的数 第四色环误差 棕 1 1 10 红 2 2 100 橙 3 3 1000 黄 4 4 10000 绿 5 5 100000 蓝 6 6 1000000 紫 7 7 10000000 灰 8 8 100000000 白 9 9 1000000000 黑 0 0 1 金 0.1 ±5% 银 0.01 ±10% 无色 ±20% 表1.2 示例: 1)在电阻体的一端标以彩色环,电阻的色标是由左向右排列的,图1的电阻为27000Ω±0.5%。 2)精密度电阻器的色环标志用五个色环表示。第一至第3色环表示电阻的有效数字,第4色环表示倍乘数,第5色环表示容许偏差,图1.3的电阻为17.5Ω±1% 表示27000Ω±5% 表示17.5Ω±1% 图1.3

可综合的Verilog语法子集总汇

常用的RTL语法结构如下: ☆模块声明:module……endmodule ☆端口声明:input,output,inout(inout的用法比较特殊,需要注意) ☆信号类型:wire,reg,tri等,integer常用语for语句中(reg,wire时最常用的,一般tri和integer 不用) ☆参数定义:parameter ☆运算操作符:各种逻辑操作符、移位操作符、算术操作符大多时可综合的(注:===与!==是不可综合的) ☆比较判断:if……else,case(casex,casez)……default endcase ☆连续赋值:assign,问号表达式(?:) ☆always模块:(敏感表可以为电平、沿信号posedge/negedge;通常和@连用) ☆begin……end(通俗的说,它就是C语言里的“{ }”) ☆任务定义:task……endtask ☆循环语句:for(用的也比较少,但是在一些特定的设计中使用它会起到事半功倍的效果) ☆赋值符号:= 和<= (阻塞和非阻塞赋值,在具体设计中时很有讲究的) 可综合的语法时verilog可用语法里很小的一个子集,用最精简的语句描述最复杂的硬件,这也正是硬件描述语言的本质。对于做RTL级设计来说,掌握好上面这些基本语法是很重要。 相信大家在看了这么多了verilog语法书籍以后一定有点烦了,那么现在我告诉大家一个好消息,对于一个RTL级的设计来说,掌握了上面的语法就已经足够了,无论多么牛逼的工程师,在他的代码

里无非也就是上面一些语法而已。当然了,对于一个能够进行很好的仿真验证的代码,一般还需要在RTL级的设计代码中添加一些延时之类的语句,比如大家一定知道#10的作用,就是延时10个单位时间,这个语句虽然在仿真的时候是实实在在的延时,但是这个语句在综合后是会被忽略的,也就是说在我们综合并且布局布线最后烧进FPGA里,这个#10的延时是不会在硬件上实现的。所以说,上面给出的这些语法才是可以最后在硬件上实现的,其它的语法大多会在综合后被忽略。这么一来大家就要问了,为什么语法书里又要给出这么多的语法呢?呵呵,它们大都是为仿真验证是写testbench 准备的,先点到为止,下集继续! 对于模型(module)的建立,要保证可综合性应该注意: (1)不使用initial。(被忽略) (2)不使用#10。(被忽略) (3)不使用循环次数不确定的循环语句,如forever、while等。 (4)不使用用户自定义原语(UDP元件)。 (5)尽量使用同步方式设计电路。 (6)除非是关键路径的设计,一般不采用调用门级元件来描述设计的方法,建议采用行为语句来完成设计。 (7)用always过程块描述组合逻辑,应在敏感信号列表中列出所有的输入信号。 (8)所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使用器件的全局复位端作为系统总的复位。 (9)对时序逻辑描述和建模,应尽量使用非阻塞赋值方式。对组合逻辑描述和建模,既可以用阻塞赋值,也可以用非阻塞赋值。但在同一个过程块中,最好不要同时用阻塞赋值和非阻塞赋值。(10)不能在一个以上的always过程块中对同一个变量赋值。而对同一个赋值对象不能既使用阻塞式赋值,又使用非阻塞式赋值。 (11)如果不打算把变量推导成锁存器,那么必须在if语句或case语句的所有条件分支中都对变量明确地赋值。 (12)避免混合使用上升沿和下降沿触发的触发器。

常用电子元器件简介

1.常用电子元器件简介 (1)名称·电路符号·文字符号 (2)555时基集成电路 555时基集成电路是数字集成电路,是由21个晶体三极管、4个晶体二极管和16个电阻组成的定时器,有分压器、比较器、触发器和放电器等功能的电路。它具有成本低、易使用、适应面广、驱动电流大和一定的负载能力。在电子制作中只需经过简单调试,就可以做成多种实用的各种小电路,远远优于三极管电路。 555时基电路国内外的型号很多,如国外产品有:NE555、LM555、A555和CA555等;国内型号有5GI555、SL555和FX555等。它们的内部结构和管脚序号都相同,因此,可以直接互相代换。但要注意,并不是所有的带555数字的集成块都是时基集成电路,如MMV 555、AD555和AHD555等都不是时基集成电路。 常见的555时基集成电路为塑料双列直插式封装(见图5-36),正面印有555字样,左下角为脚①,管脚号按逆时针方向排列。

(图5-36) 555时基集成电路各管脚的作用:脚①是公共地端为负极;脚②为低触发端TR,低于1/3电源电压以下时即导通;脚③是输出端V,电流可达2000mA;脚④是强制复位端MR,不用可与电源正极相连或悬空;脚⑤是用来调节比较器的基准电压,简称控制端VC,不用时可悬空,或通过0.01μF电容器接地;脚⑥为高触发端TH,也称阈值端,高于2/3电源电压发上时即截止;脚⑦是放电端DIS;脚⑧是电源正极VC。 555时基集成电路的主要参数为(以NE555为例)电源电压4.5~16V。 输出驱动电流为200毫安。 作定时器使用时,定时精度为1%。 作振荡使用时,输出的脉冲的最高频率可达500千赫。 使用时,驱动电流若大于上述电流时,在脚③输出端加装扩展电流的电路,如加一三极管放大。 (3)音乐片集成电路 它同模仿动物叫声和人语言集成电路都是模拟集成电路,采用软包装,即将硅芯片用黑的环氧树脂封装在一块小的印刷电路板上。

基于VerilogHDL语言的可综合性设计

基于Verilog HDL语言的可综合性设计 1 引言 逻辑综合带来了数字设计行业的革命,有效地提高了生产率,减少了设计周期时间。在手动转换设计的年代,设计过程受到诸多限制,结更容易带来人为的错误。而一个小小的错误就导致整个模块需进行重新设计,设计转换工作占去了整个设计周期的大部分时间,验证工作进行困难,设计技术无法重用等等。而自动逻辑综合工具的出现,突破了上述种种限制,使得设计者从繁琐的转换工作中解脱出来,将更多的时间用于验证和优化,不仅保证了功能的实现,而且有利于提高性能。可见,综合在逻辑设计中具有举足轻重的作用。 2 综合的概念及其过程 2.1 逻辑综合概述 综合就是在给定标准元件库和一定的设计约束条件下,把用语言描述的电路模型转换成门级网表的过程。要完成一次综合过程,必须包含三要素:RTL级描述、约束条件和工艺库。 2.2 RTL级描述 RTL级描述是以规定设计中采用各种寄存器形式为特征,然后在寄存器之间插入组合逻辑,其可以用如图1所示的“寄存器和云图”方式来表示。 图1 RTL级描述 2.3 约束条件 为了控制优化输出和映射工艺要用约束,它为优化和映射试图满足的工艺约束提供了目标,并且它们控制设计的结构实现方式。目前综合工具中可用的约束包括面积、速度、功耗和可测性约束,未来我们或许会看到对封装的约束和对布图的约束等,但是,目前的最普遍的约束是按面积和按时间的约束。 时钟限制条件规定时钟的工作频率,面积限制条件规定该设计将花的最大面积。综合工具将试图用各种可能的规则和算法尽可能地满足这些条件。 2.4 工艺库 按照所希望的逻辑行为功能和有关的约束建立设计的网表时,工艺库持有综合工具必须的全部信息。工艺库含有允许综合进程为建立设计做正确选择的全部信息,工艺库不仅含有ASIC单元的逻辑功能,而且还有该单元的面积、单元输入到输出的定时关系、有关单元扇出的某种限制和对单元所需的定时检查。

常用电气元件的功能介绍

常用电气元件功能介绍 一、保护、隔离元件 1、刀开关、倒顺开关 功能:用于不频繁分断电源主回路,形成明显的断点。没有带灭弧装置,不能带大电流操作,无保护功能;倒顺开关有换向的作用。 参数:额定电流、接线方式、操作方式等 常用型号:HD11-400/39、HS11-600/39 2、断路器 功能:用于线路保护,主要保护有:短路保护、过载保护等,也可在正常条件下用来非频繁地切断电路。 常用的断路器一般根据额定电流大小分为:框架式断路器(一般630A以上)、塑壳断路器(一般630A以下)、微型断路器(一般63A以下)。 参数:额定电流、框架电流、额定工作电压、分断能力等 常用型号:C65N D10A/3P、NSX250N、MET20F202 详见《断路器基础知识及常用断路器选型》 3、熔断器 功能:熔断器就是一种最简单的保护电器,在电路中主要起短路保护作用。 熔断器就功能上可分为普通熔断器(gG)与半导体熔断器(aR),半导体熔断器主要就是用于半导体电子器件的保护,一般动作时间较普通熔断器与断路器快,因此也经常称为快熔;普通熔断器一般只用于线路短路保护。 做线路保护用的熔断器一般只用在一些检测、控制回路中,大部分都被

断路器而取代。 参数: 常用型号:RT18-2A/32X、NGTC1-250A/690V 4、刀熔开关 功能:主要用于动力回路的短路保护,也可用于正常情况下非频繁的切断电路。 可替代断路器的部分功能,比断路器更经济。一般用于驱动器前端或总进线电源处做短路保护。 由熔断器与隔离开关延伸而来,也有叫做熔断器式隔离开关。 参数:框架电流、额定电流、额定电压 常用型号: 5、过电压保护器(浪涌保护器) 功能:用于线路的过电压保护,主要用于保护由于雷电等引起的感应电压的冲击,保护线路上的电子元器件。 可分为几个级别,电源进线回路保护的,也有控制回路保护的,应与避雷针等防雷器件配合使用。 参数: 常用型号: 6、热继电器 功能:用于控制对象(电机)的过载保护,常见于对多电机的保护。 当一台变频器驱动多台电机时,需要加热继电器做过载保护,防止其中某台电机因过载而烧坏。一般用于鼠笼或者变频电机,绕线式电机一般不采用热继电器来做过载保护,而用过流继电器。(绕线式电机一般过载能力较鼠笼

verilog综合心得

综合:不可综合的运算符:= = = ,!= =,/(除法),%(取余数)。 1、不使用初始化语句。 2、不使用带有延时的描述。 3、不使用循环次数不确定的循环语句,如:forever、while等。 4、尽量采用同步方式设计电路。 5、除非是关键路径的设计,一般不调用门级元件来描述设计的方法,建议采用行为语句来完成设计。 6、用always过程块描述组合逻辑,应在信号敏感列表中列出所有的输入信号。 7、所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使用器件的全局复位端作为系统总的复位。 8、在verilog模块中,任务(task)通常被综合成组合逻辑的形式,每个函数(function)在调用时通常也被综合为一个独立的组合电路模块。 9、用户自定义原语(UDP)是不可综合的,它只能用来建立门级元件的仿真模型。 一般综合工具支持的V erilog HDL结构

移位运算符:V erilog HDL提供向右(>>)及向左(<<)两种运算符,运算符高位或地位一旦移出即予丢弃,其空缺的位则予以补零。 连续赋值语句(assign)、case语句、if…else语句都是可以综合的 initial 语句内若包含有多个语句时,必须以begin end 作聚合;单一的初值赋值,因此并不需以begin end做聚合。 循环(Loops)并不能单独地在程序中存在,而必须在initial和always块中才能使用。initial过程块中的语句仅执行一次,而always块中的语句是不断重复执行的。 编写顶层模块的注意事项 每个端口除了要声明是输入、输出还是双向外,还要声明其数据类型,是连线型(wire)还是寄存器型(reg),如果没有声明则综合器默认为wire型。 1、输入和双向端口不能声明为寄存器型。 2、在测试模块中不需要定义端口。 编写testbentch所归纳的心得

verilog语句可综合vs不可综合

1)所有综合工具都支持的结构: always,assign,begin,end,case,wire,tri,supply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter。 2)所有综合工具都不支持的结构: time,defparam,$finish,fork,join,initial,delays,UDP,wait。 3)有些工具支持有些工具不支持的结构: casex,casez,wand,triand,wor,trior,real,disable,forever,arrays,memories,repeat,task,while。 建立可综合模型的原则 要保证Verilog HDL赋值语句的可综合性,在建模时应注意以下要点: 1)不使用initial。 2)不使用#10。 3)不使用循环次数不确定的循环语句,如forever、while等。 4)不使用用户自定义原语(UDP元件)。 5)尽量使用同步方式设计电路。 6)除非是关键路径的设计,一般不采用调用门级元件来描述设计的方法,建议采用行为语句来完成设计。 7)用always过程块描述组合逻辑,应在敏感信号列表中列出所有的输入信号。8)所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使用器件的全局复位端作为系统总的复位。 9)对时序逻辑描述和建模,应尽量使用非阻塞赋值方式。对组合逻辑描述和建模,既可以用阻塞赋值,也可以用非阻塞赋值。但在同一个过程块中,最好不要同时用阻塞赋值和非阻塞赋值。 10)不能在一个以上的always过程块中对同一个变量赋值。而对同一个赋值对

常用电子元器件介绍

常用电子元器件介绍 电子元件知识——电阻器 电阻:导电体对电流的阻碍作用称为电阻,用符号R 表示,单位为欧姆、千欧、兆欧,分别用Ω、KΩ、MΩ表示。 电阻的型号命名方法:国产电阻器的型号由四部分组成(不适用敏感电阻) ①主称②材料③分类④序号 电阻器的分类: ①线绕电阻器 ②薄膜电阻器:碳膜电阻器、合成碳膜电阻器、金属膜电阻器、金属氧化膜电阻器、化学沉积膜电阻器、玻璃釉膜电阻器、金属氮化膜电阻器 ③实心电阻器 ④敏感电阻器:压敏电阻器、热敏电阻器、光敏电阻器、力敏电阻器、气敏电阻器、湿敏电阻器。 ※电阻器阻值标示方法: 1、直标法:用数字和单位符号在电阻器表面标出阻值,其允许误差直接用百分数表示,若电阻上未注偏差,则均为±20% 。 2、文字符号法:用阿拉伯数字和文字符号两者有规律的组合来表示标称 阻值,其允许偏差也用文字符号表示。符号前面的数字表示整数阻值,后面的数字依次表示第一位小数阻值和第二位小数阻值。表示允许误差的文字符

号文字符号:DFGJKM 允许偏差分别为: ±0.5%±1%±2%±5%±10%±20% 3、数码法:在电阻器上用三位数码表示标称值的标志方法。数码从左到 右,第一、二位为有效值,第三位为指数,即零的个数,单位为欧。偏差通 常采用文字符号表示。 4、色标法:用不同颜色的带或点在电阻器表面标出标称阻值和允许偏差。 国外电阻大部分采用色标法。 黑-0、棕-1、红-2、橙-3、黄-4 、绿-5 、蓝-6 、紫-7、灰-8、白-9、金- ±5%、银- ±10% 、无色-±20% 当电阻为四环时,最后一环必为金色或银色,前两位为有效数字,第三位为乘方数,第四位为偏差。 当电阻为五环时,最後一环与前面四环距离较大。前三位为有效数字,第四位为乘方数,第五位为偏差

verilog语言的综合与不可综合

Verilog的综合与不可综合 综合说明编的代码可以对应出具体的电路,不可综合说明没有对应的电路结构。不可综合的代码编译通过,只能看到输出,不能实现电路,就是不能用来制作具体的芯片。 一、基本 Verilog中的变量有线网类型和寄存器类型。线网型变量综合成wire,而寄存器可能综合成WIRE,锁存器和触发器。 二:verilog语句结构到门级的映射 1、连续性赋值:assign 连续性赋值语句逻辑结构上就是将等式右边的驱动左边的结点。因些连续性赋值的目标结点总是综合成由组合逻辑驱动的结点。Assign语句中的延时综合时都将忽视。 2、过程性赋值: 过程性赋值只出现在always语句中。 阻塞赋值和非阻塞赋值就该赋值本身是没有区别的,只是对后面的语句有不同的影响。 建议设计组合逻辑电路时用阻塞赋值,设计时序电路时用非阻塞赋值。 过程性赋值的赋值对象有可能综合成wire,latch,和flip-flop,取决于具体状况。如,时钟控制下的非阻塞赋值综合成flip-flop。 过程性赋值语句中的任何延时在综合时都将忽略。 建议同一个变量单一地使用阻塞或者非阻塞赋值。 3、逻辑操作符: 逻辑操作符对应于硬件中已有的逻辑门 4、算术操作符: Verilog中将reg视为无符号数,而integer视为有符号数。因此,进行有符号操作时使用integer,使用无符号操作时使用reg。 5、进位: 通常会将进行运算操作的结果比原操作数扩展一位,用来存放进位或者借位。如: Wire [3:0] A,B; Wire [4:0] C; Assign C=A+B; C的最高位用来存放进位。 6、关系运算符: 关系运算符:<,>,<=,>= 和算术操作符一样,可以进行有符号和无符号运算,取决于数据类型是reg,net还是integer。

自动化控制系统的介绍

目录 摘要……………简要介绍电气自动化技术的概念及其包括的专业知识关键字………………………………控制、系统、检测、网络化 第一章自动控制系统 (1) 1.1自动控制与自动控制系统 (2) 1.2 自动控制系统的基本构成及控制方式 (3) 1.3 自动控制系统的分类 (4) 1.4 对控制系统性能的要求 (5) 1.5 自动控制理论发展简述 (6) 第二章自动检测系统 (7) 2.1 检测技术的基本概念 (8) 2.2 传感器与传感器的分类 (9) 2.3 测量方法 (10) 2.4 传感器的基本特性 (11) 2.5 温度检测 (12) 1、研究目的

自动化广泛应用于现代工业生产中,在很大程度上减轻了人的劳动强度改善了工作环境,同时也提高了产品质量。随着钢铁工业工艺的不断成熟、国际、国内市场的不断发展,对产品质量的要求越来越高。因此,追求高质量的产品、低成本的消耗成为企业能否在激烈的市场竞争中立于不败之地的最首要保证,自动控制系统实现了这一发展。 2,研究意义 本专业主特点是强电弱电结合、电工技术与电子技术相结合、软件与硬件结合、元件与系统结合,在现代科学技术的许多领域中,自动控制技术得到了广泛的应用。所谓自动控制,是指在无人直接参与的情况下,利用控制装置操纵受控对象,使被控量等于给定值或给定信号变化规律去变化的过程。 2、研究内容 控制装置和受控对象为物理装置,而给定值和被控量均为一定形式的物理量。自动控制系统由控制装置和受控对象构成。对自动控制系统的性能进行分析和设计则是自动控制原理的主要任务。 2.1自动控制系统的基本构成及控制方式 1.开环控制控制装置与受控对象之间只有顺向作用而无反向联系时,称为开环控制。 开环控制的特点是系统结构和控制过程很简单,但抗扰能力差、控制精度不高,故一般只能用于对控制性能要求较低的场合。 2.闭环控制 控制装置与受控对象之间,不但有顺向作用,而且还有反向联系,既有被控量对控制过程的影响,这种控制称为闭环控制,相应的控制系统称为闭环控制系统。闭环控制系统又被称为反馈控制或按偏差控制。 闭环控制系统是通过给定值与反馈量的偏差来实现控制作用的,故这种控制常称为按偏差控制,或称反馈控制。此类系统包括了两种传输信号的通道:由给定值至被控量的通道称为前向通道;由被控量至系统输入端的通

Verilog可综合与不可综合语句汇总

1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter。 (2)所有综合工具都不支持的结构:time,defparam,$finish,fork,join,initial,delays,UDP,wait。 (3)有些工具支持有些工具不支持的结构:casex,casez,wand,triand,wor,trior,real,disable,forever,arrays,memories,repeat,task,while。 建立可综合模型的原则 要保证Verilog HDL赋值语句的可综合性,在建模时应注意以下要点:(1)不使用initial。 (2)不使用#10。 (3)不使用循环次数不确定的循环语句,如forever、while等。 (4)不使用用户自定义原语(UDP元件)。 (5)尽量使用同步方式设计电路。 (6)除非是关键路径的设计,一般不采用调用门级元件来描述设计的方法,建议采用行为语句来完成设计。 (7)用always过程块描述组合逻辑,应在敏感信号列表中列出所有的输入信号。 (8)所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使用器件的全局复位端作为系统总的复位。 (9)对时序逻辑描述和建模,应尽量使用非阻塞赋值方式。对组合逻辑描述和建模,既可以用阻塞赋值,也可以用非阻塞赋值。但在同一个过程块中,最好不要同时用阻塞赋值和非阻塞赋值。 (10)不能在一个以上的always过程块中对同一个变量赋值。而对同一个赋值对象不能既使用阻塞式赋值,又使用非阻塞式赋值。

气动元件使用说明

气动元件使用说明 一、气动元件的使用环境: 一)无腐蚀性气体、无阳光直射、无有机溶剂、无化学药品、无明显粉尘、元爆炸性气体、无强磁的场合。 (二)环境温度一般在5℃~50℃之间。 (三)冲击和振动幅度应在气动元件的允许范围内。 (四)供电电压应在气动元件规定范畴内。 二、对使用气体的要求: (一)使用清洁干燥的压缩空气,根据不同的要求,采用相应的气源处理系统。不得使用未经任何处理的压缩空气。 (二)在灰尘多、有水滴、油滴的场合应采取防护措施。 (三)冷凝水多、高温高湿的场合,在过滤器前应配置空气干燥器,后冷却器,自动排水器等。 三、气动元件的润滑: (一)为延长气动元件的使用寿命,除不供油润滑的元件外,一般应给油润滑。给油时应选用流量合适的油雾器。要用符合ISO VG32标准的专用润滑油(本公司供应此种润滑油)不得使用机油、锭子油等对气动密封件有害的润滑剂。 (二)润滑方法将油雾器的注油塞拧下,将专润滑油注入油杯内(亦可拧下油杯注油后再把油杯拧好),测量为油杯容积的80%左右为宜,同时调节滴油速度,使每1m3空气中含有0.5至5滴润滑油即可。检查润滑是否良好,可以将一张清洁的白纸,放在换向阀的排气孔附近,

阀工作三至四个循环后,若纸上只有很轻的斑点,表明润滑良好。(三)对于不给油润滑的元件,一旦给油后,不得停止给油,否则会导致元件工作不良。 (四)更换气动元件的密封件时,在密封件上一定要涂抹规定的润滑油,否则会操作密封件。 四、常用气动元件应用及使用注意事项: <一>配管及管接头: 1、应根据气动系统和气动元件对空气流量,安装方式的不同要求应用相应的配管和管接头。 2、配管前应吹净或洗净管内的油污、灰尘、金属屑,配管于管接头及气动元件连接时不允许将上述杂物及密封带的碎屑及粘接剂混入。 3、管接并没有安装时,请用六角扳手紧固,用力应适宜,否则会损坏元件、伤害人体或造成密封不良。所以要求按下列数据接管。 4、用密封生料带缠绕时,留出1.5~2道丝扣,并按下图(图三)所示的方向缠绕。 5、往单触式管接头上连接气管时,应把气管的端面用专用切管器或用锋利的刀切成直角平面,然后往管接头内插进气管,一直推到气管的端头,通过管接头密封圈到达管接头的尽头,再进去为止。不得在稍遇阻力时就停止。以免插不到头造成泄露。如气管完全连接好,气管就会被销紧爪销死而不会脱落,并被密封圈密封住。在拆气管时按住椭圆(或圆形)管套使销紧爪完全松开,抽出气管。 <二>过滤器:

自动化名人简介..

中国自动化学科发展及控制界名人简介 J.C.Maxwell :控制理论的源头 以反馈控制为其主要研究内容的自动控制理论的历史,若从目前公认的第一篇理论论文, J.C.Maxwell 在1868年发表的"论调节器"算起,至今不过一百多年.然而控制思想与技术的存在至少已有数千年的历史了. 维纳:控制学科的鼻祖 1894年11月26日维纳出生在美国密苏里州哥伦比亚市的一个犹太人的家庭中.他的父亲是哈佛大学的语言教授.维纳自幼聪慧,1906年(12岁)便进入土夫兹学院学习,1909年(15岁)时获数学学士学位.1913年(19岁)以关于数理逻辑的论文获哈佛大学博土学位. 1933年任美国国家科学院院士, 1948年他发表了划时代的著作《控制论》. 控制论的基本含义是:现代的自动机器和人都是由感觉装置(器官),动作装置(器官),传递信息的系统(神经系统)所组成的一种系统.自动机器和人都是在接收,处理,传递和存贮信息,并利用信息去完成动作,实现与外界的联系.在系统工作中,反馈控制给定控制信号使动作装置(器官)动作.动作结果由感觉装置(器官)检测出来,并反馈回去与给定信号相比较.如果比较有偏差,偏差信号会继续控制动作装置(器官)动作,直到偏差信号消失为止. 更简单地说:控制论指的是感觉和机器方面的控制和通讯.控制论就是研究动物(包括人类),自动机器和有机体的控制和通讯的理论.

张钟俊:控制理论 自动控制专家.1915年出生,浙江嘉善人.1934年毕业于交通大学,获学士学位.1938年获美国麻省理工学院博士学位.上海交通大学教授. 一门《伺服机件》课程奠定了他是中国控制学科的第一个"吃螃蟹"的人.在网络综合,电力系统,自动控制和系统工程等领域,作出了许多开创性的贡献._1948年,张钟俊在交通大学电信研究所讲授伺服原理,1950年在长春机电研究研究所又讲了这门课程,这是中国历史最早讲授控制理论的记载.中华人民共和国成立初期,在上海建议和参与建立了统一的电力系统,实现了集中管理和调度.1956年参加全国12年科学规划工作,编写了电力系统规划,并作为电力系统组组长,参加了长江三峡水力发电站的规划论证.1980当选为中国科学院院士(学部委员). 钱学森:控制理论 _ 1911年祖籍杭州,生于上海.应用力学,工程控制论,系统工程科学家.1934年毕业于上海交通大学,1939年在加州理工学院获博士学位.并担任过加州理工学院航空系副教授,麻省理工学院航空系副教授,空气动力学教授,1949年至1955年任美国加州理工学院喷气推进中心主任,教授.中国控制学科最重要的奠基人,中国自动化学会首任理事长.中国力学学会,中国自动化学会,中国宇航学会,中国系统工程学会名誉理事长,中国科学院学部主席团名誉主席,中国科学技术协会名誉主席.曾任国防科学技术委员会副主任和中国科学技术协会主席. 在应用力学,工程控制论,系统工程等多领域取得出色研究成果,在中国航天事业的创建与发展等方面作出了卓越贡献.1999年获"两弹一星功勋奖章".1957年选聘为中国科学院院士(学部委员).

BRN常用型号自动化元件介绍

功能表格 ●表示具备此项功能○可选配空白表不具备此功能 注:BRN-D401及BRN-D403导轨式电表还可选配IC卡预付费功能,特别适合商业综合体、写字楼出租户使用

BRN-E202/D302–AI三相多功能表 一、主要功能: ●LED显示 ●三相电流测量 注:BRN-E202-AI不带通讯功能,不带开关量功能BRN-D302-AI带通讯,可选配开关量功能。 三、开孔尺寸 85*85mm

一、主要功能 ●LED显示 ●三相电压,三相电流,频率,三相有功功率、无功 功率,功率因数,三相电能 ●2路隔离型开关量输入 ●RS485/MODBUS通讯 注:BRN-E212-AS不带通讯功能,不带开关量功能; BRN-D312-AS带通讯,标配两路开关量输入,可选 配两路开关量输出。 76*76mm

BRN-E212C/D312C-AS三相多功能表 一、主要功能 ●LCD显示 ●三相电压,三相电流,频率,三相有功功率、无功功率, 功率因数,三相电能 ●2路隔离型开关量输入 ●可选配2-31次谐波测量 ●RS485/MODBUS通讯 ●注:BRN-E212C-AS不带通讯功能,不带开关量功能; ●BRN-D312C-AS带通讯,标配两路开关量输入,可选配两 路开关量输出。 三、开孔尺寸 76*76mm

BRN-D401单相导轨式电能表Array一、主要功能 ●LCD显示 ●单相电能 ●可直接接入最大80A电流,也可外接电流互感器 ●RS485/MODBUS通讯 ●可选配复费率功能 ●可选配开关量输出型号(内置磁保持继电器),80A以内可 直接分闸/合闸,可远程遥控,特别适用于学校及宿舍 管理 ●可选配IC卡预付费功能(内置IC识别模块及磁保持继电器),电费余额不足可自动断电, 适用于写字楼及商铺出租项目。 三、安装方式 35mm导轨/4P宽度

基本元器件介绍

基本元器件介绍 一、基本概念 1、单位 长度单位:1m=102cm=103mm=106um=109nm=1012pm 电容单位:1F=103mF=106uF=109nF=1012pF 电阻单位:1Ω=103mΩ=106uΩ=109nΩ=1012pΩ,1MΩ=103kΩ 电感单位:1H=103m H=106u H=109n H=1012p H 1inch(英寸)=2.54cm 1mil(密耳)=1/1000inch=0.0254mm 2、有源元件无源元件概念 有源元件:电子元器件工作时,其内部有电源存在,则称为有源元件。需要外部能源实现其特定功能。一般用于信号放大、转换等。例如:晶体管、MOS管。无源元件:在电路中无需加电源即可在有信号时工作。不需要外加电源条件下,就可以实现其特性的电子元器件。例如:电阻、电容、电感。 3、数字电路基础知识: 用数字信号完成对数字量进行算数运算和逻辑运算的电路,数字电路仅存在逻辑“0”和“1”两种电平信号。 (1)逻辑电平: 数字电压的高、低电平通称为逻辑电平,即数字电路中的“0”和“1”。

I、TTL(Transistor-Transistor Logic)电平:规定+5V为逻辑“1”,0V为逻辑“0”。51单片机使用的是TTL电平。 II、LVTTL(Low Voltage TTL)电平:规定+3.3V为逻辑“1”,0V为逻辑“0”。 一些小模块可以使用LVTTL电平,如摄像头模块或者CH340下载器。 (2)数制: I、二进制Binarysystem(B):基数为2,用0和1两个数码表示,逢二进一。II、八进制Octalsystem(O):基数为8,用0~7表示,逢八进一。 III、十进制Decimalsystem(D):基数为10,用0~9表示,逢十进一。 IV、十六进制Hexadecimalsystem(H):基数为16,用0~F表示,0~9,超过十则用A~F表示。在程序中,习惯在数字之前加0x来表示一个十六进制的数,例如:0xAF,0x7A。 V、二进制、十六进制互相转换:四位二进制数计数从0000~1111,正好对应0~15,因此以四位二进制数为一个单位与十六进制互相转换。

verilog不可被综合的语句

verilog 不可综合语句总结汇总 2009-04-20 18:37 (1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter。 (2)所有综合工具都不支持的结构:time,defparam,$finish,fork,join,initial,delays,UDP,wait。 (3)有些工具支持有些工具不支持的结构:casex,casez,wand,triand,wor,trior,real,disable,forever,arrays,memories,repeat,task,while。 建立可综合模型的原则 要保证Verilog HDL赋值语句的可综合性,在建模时应注意以下要点: (1)不使用initial。 (2)不使用#10。 (3)不使用循环次数不确定的循环语句,如forever、while等。 (4)不使用用户自定义原语(UDP元件)。 (5)尽量使用同步方式设计电路。 (6)除非是关键路径的设计,一般不采用调用门级元件来描述设计的方法,建议采用行为语句来完成设计。 (7)用always过程块描述组合逻辑,应在敏感信号列表中列出所有的输入信号。 (8)所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使用器件的全局复位端作为系统总的复位。 (9)对时序逻辑描述和建模,应尽量使用非阻塞赋值方式。对组合逻辑描述和建模,既可以用阻塞赋值,也可以用非阻塞赋值。但在同一个过程块中,最好不要同时用阻塞赋值和非阻塞赋值。 (10)不能在一个以上的always过程块中对同一个变量赋值。而对同一个赋值对象不能既使用阻塞式赋值,又使用非阻塞式赋值。 (11)如果不打算把变量推导成锁存器,那么必须在if语句或case语句的所有条件分支中都对变量明确地赋值。 (12)避免混合使用上升沿和下降沿触发的触发器。 (13)同一个变量的赋值不能受多个时钟控制,也不能受两种不同的时钟条件(或者不同的时钟沿)控制。 (14)避免在case语句的分支项中使用x值或z值。 不可综合verilog语句2009-04-14 19:33

常用元器件介绍

1.1电阻 1.1.1功能:电阻器是电路元件中应用最广泛的一种,在电子设备中约占元件总数的30%以上,其质量的好坏对电路工作的稳定性有极大影响。它的主要用途是稳定和调节电路中的电流和电压,其次还作为分流器分压器和负载使用,见图1.1 1.1.2符号: 图1.1 1.1.3分类: 1)从材料分:碳膜电阻(用RT表示),金属膜电阻(RJ表示),氧化膜电阻(用RY表示),线绕电阻(用RX表示),水泥电阻(用RS表示)等。见图1.2 图1.2 2)从功率分:1/6W,1/4W,1/2W,1W,2W等,大功率电阻一般水泥材料,用作负载。 3)从精密度分:常用的精度为±0.5%、±1%、±2%,±5%等,下面误差等级的分类:见表1.1 表1.1 4)从功能分:有纯电阻、压敏电阻、热敏电阻(NTC电阻,PTC电阻)、光敏电阻等 1.1.4色环阻值表示法:碳质电阻和一些1/8瓦碳膜电阻的阻值和误差用色环表示。在电阻上有三道或者四道色环。靠近电阻端的是第一道色环,其余顺次是二、三、四道色环,第一道色环表示阻值的最大一位数字,第二道色环表示第二位数字,第三道色环表示阻值未应该有几个零。第四道色环表示阻值的误差。色环颜色所代表的数字或者意义见下表1.2:

表1.2 示例: 1)在电阻体的一端标以彩色环,电阻的色标是由左向右排列的,图1的电阻为27000Ω±0.5%。 2)精密度电阻器的色环标志用五个色环表示。第一至第3色环表示电阻的有效数字,第4色环表示倍乘数,第5色环表示容许偏差,图1.3的电阻为17.5Ω±1% 表示27000Ω±5% 表示17.5Ω±1% 图1.3 1.1.5应用常识: 1)在电路图中电阻器和电位器的单位标注规则 阻值在兆欧以上,标注单位M。比如1兆欧,标注1M;2.7兆欧,标注2.7M。 阻值在1千欧到1兆欧之间,标注单位k。比如5.1千欧,标注5.1k;68千欧,标注68k;比如360千欧,标注360k。 阻值在1千欧以下,可以标注单位Ω,也可以不标注。比如5.1欧,可以标注5.1Ω或者5.1;680欧,可以标注680Ω或者680。 2)电阻的额定功率要选用等于实际承受功率1.5~2倍的,才能保证电阻耐用可靠。电阻在装入电路之前,要用万用表欧姆档核实它的阻值。安装的时候,要使电阻的类别、阻值等符号容易看到,以便核实。

FPGA中不可综合语句汇总

(1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter。 (2)所有综合工具都不支持的结构:time,defparam,$finish,fork,join,initial,delays,UDP,wait。 (3)有些工具支持有些工具不支持的结构:casex,casez,wand,triand,wor,trior,real,disable,forever,arrays,memories,repeat,task,while。 建立可综合模型的原则 要保证Verilog HDL赋值语句的可综合性,在建模时应注意以下要点: (1)不使用initial。 (2)不使用#10。 (3)不使用循环次数不确定的循环语句,如forever、while等。 (4)不使用用户自定义原语(UDP元件)。 (5)尽量使用同步方式设计电路。 (6)除非是关键路径的设计,一般不采用调用门级元件来描述设计的方法,建议采用行为语句来完成设计。 (7)用always过程块描述组合逻辑,应在敏感信号列表中列出所有的输入信号。 (8)所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使用器件的全局复位端作为系统总的复位。 (9)对时序逻辑描述和建模,应尽量使用非阻塞赋值方式。对组合逻辑描述和建模,既可以用阻塞赋值,也可以用非阻塞赋值。但在同一个过程块中,最好不要同时用阻塞赋值和非阻塞赋值。 (10)不能在一个以上的always过程块中对同一个变量赋值。而对同一个赋值对象不能既使用阻塞式赋值,又使用非阻塞式赋值。 (11)如果不打算把变量推导成锁存器,那么必须在if语句或case语句的所有条件分支中都对变量明确地赋值。 (12)避免混合使用上升沿和下降沿触发的触发器。 (13)同一个变量的赋值不能受多个时钟控制,也不能受两种不同的时钟条件(或者不同的时钟沿)控制。 (14)避免在case语句的分支项中使用x值或z值。 不可综合verilog语句2009-04-1419:33 1、initial 只能在test bench中使用,不能综合。(我用ISE9.1综合时,有的简单的initial也可以综合,不知道为什么) 2、events event在同步test bench时更有用,不能综合。 3、real 不支持real数据类型的综合。 4、time 不支持time数据类型的综合。

verilog可综合

verilog综合小结 一:基本Verilog中的变量有线网类型和寄存器类型。线网型变量综合成wire,而寄存器可能综合成WIRE,锁存器和触发器。 二:verilog语句结构到门级的映射 连续性赋值:assign连续性赋值语句逻辑结构上就是将等式右边的驱动左边的结点。因些连续性赋值的目标结点总是综合成由组合逻辑驱动的结点。Assign语句中的延时综合时都将忽视。 过程性赋值:过程性赋值只出现在always语句中。阻塞赋值和非阻塞赋值就该赋值本身是没有区别的,只是对后面的语句有不同的影响。建议设计组合逻辑电路时用阻塞赋值,设计时序电路时用非阻塞赋值。过程性赋值的赋值对象有可能综合成wire,latch,和flip-flop,取决于具体状况。如,时钟控制下的非阻塞赋值综合成flip-flop。过程性赋值语句中的任何延时在综合时都将忽略。建议同一个变量单一地使用阻塞或者非阻塞赋值。 逻辑操作符:逻辑操作符对应于硬件中已有的逻辑门 算术操作符:V erilog中将reg视为有符号数,而integer视为有符号数。因此,进行有符号操作时使用integer,使用无符号操作时使用reg。 进位:通常会将进行运算操作的结果比原操作数扩展一位,用来存放进位或者借位。如:Wire [3:0] A,B;Wire [4:0] C;Assign C=A+B;C的最高位用来存放进位。 关系运算符:关系运算符:<,>,<=,>=和算术操作符一样,可以进行有符号和无符号运算,取决于数据类型是reg ,net还是integer。 相等运算符:==,!=注意:===和!==是不可综合的。可以进行有符号或无符号操作,取决于数据类型 移位运算符:左移,右移,右边操作数可以是常数或者是变量,二者综合出来的结果不同。 部分选择:部分选择索引必须是常量。 BIT选择:BIT选择中的索引可以用变量,这样将综合成多路(复用)器。 敏感表:Always过程中,所有被读取的数据,即等号右边的变量都要应放在敏感表中,不然,综合时不能正确地映射到所用的门。 IF:如果变量没有在IF语句的每个分支中进行赋值,将会产生latch。如果IF语句中产生了latch,则IF的条件中最好不要用到算术操作。Case语句类似。Case的条款可以是变量。如果一个变量在同一个IF条件分支中先赎值然后读取,则不会产生latch。如果先读取,后赎值,则会产生latch。 循环:只有for-loop语句是可以综合的。 设计时序电路时,建议变量在always语句中赋值,而在该always语句外使用,使综合时能准确地匹配。建议不要使用局部变量。 不能在多个always块中对同一个变量赎值 函数函数代表一个组合逻辑,所有内部定义的变量都是临时的,这些变量综合后为wire。 任务:任务可能是组合逻辑或者时序逻辑,取决于何种情况下调用任务。 Z:Z会综合成一个三态门,必须在条件语句中赋值 参数化设计:优点:参数可重载,不需要多次定义模块 三:模块优化 资源共享:当进程涉及到共用ALU时,要考虑资源分配问题。可以共享的操作符主要

相关文档