文档库 最新最全的文档下载
当前位置:文档库 › 抢答器实验报告要点

抢答器实验报告要点

抢答器实验报告要点
抢答器实验报告要点

扬州大学能源与动力工程学院本科生课程设计

题目:四人智力竞赛抢答器

课程:数字电子技术基础

专业:电气工程及其自动化

班级:电气0901

学号:091302111

姓名:冯承超

指导教师:年漪蓓蒋步军

完成日期: 2011年6月24日

总目录第一部分:任务书

第二部分:课程设计报告

第三部分:设计图纸

第一部分

《数字电子技术基础》课程设计任务书

一、课程设计的目的

1、使学生进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力;

2、使学生基本掌握常用电子电路的一般设计方法,提高电子电路的设计实验能力;

3、熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。

二、课程设计的要求

1、设计时要综合考虑实用、经济并满足性能指标要求;

2、必须独立完成设计课题;

3、合理选用元器件;

4、按时完成设计任务并提交设计报告。

三、课程设计进度安排

1、方案设计;(半天)

2、电路设计:(一天)

3、装配图设计:(半天)

4、电路制作:(两天)

5、总结鉴定:(一天)

四、设计要求

1用中小型规模集成电路设计出所要求的电路;

2、在实验箱上安装、调试出所设计的电路。

3、部分课题要求用可编程逻辑器件(FPGA/CPLD)设计实现;

4、在EDA编程实验系统上完成硬件系统的功能仿真。

5、写出设计、调试、总结报告。

五、使用仪器设备

1、稳压电源(±5V,±15V);

2、实验电路箱;

3、低频信号发生器;

4、示波器。

六、设计总结报告主要内容

1、任务及要求;

2、方案特点;

3、各组成部分及工作原理(应结合框图写);

4、单元电路设计与调试;

5、总逻辑图;

6、总装配图。

第二部分

目录

1 设计任务及要求 (6)

2 系统总体设计方案 (7)

2.1 总体设计方案 (7)

2.2 方案特点 (7)

3 控制电路设计 (8)

3.1 控制电路工作原理 (8)

3.2 参数计算 (8)

3.3 器件选型 (8)

4 振荡电路设计 (9)

4.1 振荡电路工作原理 (9)

4.2 参数计算 (9)

4.3 器件选型 (9)

5 计数电路设计 (10)

5.1 计数电路工作原理 (10)

5.2 参数计算 (11)

5.3 器件选型 (11)

6 译码显示电路设计 (12)

6.1 译码显示电路工作原理 (12)

6.2 参数计算 (12)

6.3 器件选型 (12)

7 系统总体电路设计 (13)

7.1 系统总体电路 (13)

7.2 电路说明 (14)

8 电路调试 (15)

8.1 振荡电路调试及实验结果分析 (15)

8.2 计数电路调试及实验结果分析 (15)

8.3 译码显示电路调试及实验结果分析 (15)

8.4 控制电路调试及实验结果分析 (15)

8.5 系统联调及实验结果分析 (15)

9 改进意见及收获体会 (16)

10 器件明细清单 (17)

参考文献 (18)

1设计任务及要求

1、四组参赛者进行抢答(用开关“A”、“B”、“C”、“D”抢答),当抢先者按下按钮时,抢答器能准确地判断出抢先者,并以数码管的“1”、“2”、“3”、“4”显示。

2、主持人开关具有总启动、复位功能,选手抢答具有互锁功能;

3、抢答器应具有限时(抢答时、回答问题时)功能。限时档次分别为30秒、60秒、90秒,以倒计时显示;在时限内抢答则倒计时停止,时间到“00”则停止抢答;

4、抢答者犯规或违章时,应自动发出警告信号,以提示灯光闪为标志。

2系统总体设计方案

2.1总体设计方案

它由主体电路和扩展电路两部分组成,主题电路完成基本的抢答功能,即开始抢答后,

当选手按动抢答键时,能显示选手的编码,同时封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。

2.2方案特点

如图11、1所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置?quot;开始"状态,宣布"开始"抢答器工作。定时器倒计时。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。

3控制电路设计

3.1控制电路工作原理

当主持人开关处于低电平“复位”位置时,D触发器被置零,输出低电平,译码器输入端为0000,数码管显示0,此时抢答无效;

当主持人开关处于高电平“启动”位置时,D触发器处于置数状态,每个抢答选手操纵一个微动开关,抢先按动者按动后,数码管显示抢答选手所对于的号码,此时封锁其他选手的选择权,只有主持人按清零开关后,才可以解除封锁。

说明:图中右下角处V端本应接倒计时反馈

3.2参数计算

由图知,开关S为主持人开关;四输入与非门、反相器以及4个D触发器构成抢答锁存电路;D触发器和48译码器间电路为2-4线译码器;48译码器和译码管显示抢答结果。

由分析可知,若A先抢答,则Qa为“1”,Qb、Qc、Qd均被置零,故抢答输出为“1000”。经译码输出则显示“1”;其他开关抢答时类似。

3.3器件选型

译码器74LS48

双上升沿D触发器74LS74

数码管BS207

双四输入与非门74LS20

四二输入与非门74LS00

4 振荡电路设计

4.1振荡电路工作原理

74LS160是十进制分频,

74LS74是二进制分频,

4MHZ的石英晶体经过了六个十进制分频器和两个二进制分频器后最后的频率为1HZ,

即最后得到所需要的秒脉冲。

V1

5V

4.2参数计算

根据实验室提供的4MHZ的晶振,我们选用六个74LS160用作六级十倍分频获得4HZ

的方波信号,再选用两个D触发器用作两级两倍分频获得我们需要的1HZ的方波信号作为

秒脉冲信号,另外,我们还用到容值分别为0.01uF和10pF的电容。

4.3器件选型

十进制分频器7LS160 二进制分频器74LS74 反相器74LS04

石英晶体4MHz电容10PF,0.01UF

电阻1KΩ

5 计数电路设计

5.1计数电路工作原理

根据所要求的抢答时限,通过预置数时间电路(30秒、60秒、90秒)对计数器进行预置数(选用十进制可逆计数器74190进行设计),个位置零、十位置3,6或9;

主持人开关控制两个计数器的异步置数端,当主持人将开关打到低电平时,就会置数在30、60或90(时限开关由T1、T2、T3控制的简单译码器控制);

当主持人开关打到低电平,可通过时限开关控倒计时时间,当主持人开关打到高电平,则倒计时开始。

说明:图中的二输入或门可用二输入与非门及反相器实现,图中只是围为了简化电路;具体二输入或门如下:

U4NAND2

U5

NOT U6NOT

42

45

5.2参数计算

简单倒计时编码器:当开关T1、T2或T3打到高电平时,分别置倒计时起始值30、60或90秒(例如,本截图中,T3打到Vcc ,则D=A=1,B=C=0,置90秒倒计时);

要构成30进制计数器,首先将两片190用并行进位方式连成一百进制计数器,由于190是异步置数,所以计数器从30开始减到00时立即跳为30,即00为不稳定状态,正好计数器几入了30个脉冲。所以我们把高位190置入3(即0011),低位置入0(即0000)。

5.3器件选型

译码器74LS48 数码管BS207 反相器74LS04

十进制可逆计数器74190

6 译码显示电路设计

6.1译码显示电路工作原理

译码是把给定的代码进行翻译,变成相应的状态。用于驱动液晶数字显示屏的CMOS 七段液晶显示译码器,只要在它的输入端输入8、4、2、1码,七段液晶显示器就能显示十进制数字,如图:

说明:本截图中为验证数码管有效,特将其置为1。

6.2参数计算

48译码器接收来自编码器的输入数值,编码器OA、OB、···、OG管脚分别接数码管A、B、···、G管脚;当A、B、C、D输入端输入信号经过74LS48到达数码管上时,数码管就会显示相应的数字。

6.3器件选型

数码管BS207

译码器74LS48

7系统总体电路设计7.1系统总体电路

7.2 电路说明

本电路图为四人竞赛抢答器电路图,电路中配有一个主持人开关S ,3个倒计时选择开

数电课程设计-4人抢答器-终稿

关T1、T2、T3以及4个抢答开关A、B、C、D;该电路可以完整实现主持人总复位及总启动、主持人选择抢答答题时限、四人抢答互锁以及犯规报警等四个功能。

主持人开关打到低电位时总复位——封锁4个抢答开关,抢答电路数码管显示器被强制置零,此时只有抢答时限开关工作,时限开关打到T1、T2、T3时分别可选择30、60、90秒的抢答答题时限,并在倒计时数码管显示器上显示;

主持人开关打到高电位时总启动——倒计时开关立即倒计时,抢答开关可以开始抢答,最先抢答者A或B或C或D在抢答显示数码管上分别显示1、2、3、4,有人抢答则倒计时结束,倒计时降至“00”则抢答无效;

抢答报警电路——主持人开关未启动(即主持人开关打在总复位)时,只要有人抢答,则报警指示灯亮。

8电路调试

8.1振荡电路调试及实验结果分析

根据进入实验室之前绘制的电路原理草图在数字逻辑箱上接线,并认真仔细检查之后将脉冲信号的输出端接数字逻辑箱上的发光二极管,同时用导线将数字逻辑箱上的标准1HZ 的脉冲信号接到另一个发光二极管上,接通电源,观察两个发光二极管的闪烁频率。

实际观察结果:发光二极管不闪烁,发觉结果不符合要求,我们就开始查电路,将每个分频器分开检查,发觉第二个十进制分频器处所对应的发光二极管不亮,怀疑模块有问题,然后替换了一个,接好刚才拆开的线路,打开电源,发光二极管闪烁,得到所需要的秒脉冲。

8.2 计数电路调试及实验结果分析

根据进入实验室之前绘制的电路原理草图在数字逻辑箱上接线,并认真仔细检查之后,接入标根据进入实验室之前绘制的电路原理草图在数字逻辑箱上接线,并认真仔细检查之后,接入标准1HZ脉冲信号,接通电源,观察该部分电路中的数码管数字显示。

实际观察结果:计数电路一遍接对,数码管能从30开始递减到0,然后锁定在0处不变,符合要求。

8.3 译码显示电路调试及实验结果分析

同计数电路接线步骤;

实际观察结果:第一遍接线时想在此部分译码电路上观察数码管能否正常工作,但是数码管及48译码器组成的电路中数码管没有显示,之后发现译码器所加电平过高,加电阻或全部接完线才能正常工作,结果第一遍接线有误,连续接线好几次才实现了预期要求。8.4 控制电路调试及实验结果分析

根据进入实验室之前绘制的电路原理草图在数字逻辑箱上接线,并认真仔细检查之后,接通电源,观察该部分电路的数码数字管显示。

实际观察结果:观察结果正常,当主持人清0时,数码管显示为0,当抢答选手按动自己所对应的开关后,数码管显示所对应选手的号码,此时其他选手按动自己的开关后,数码管显示的数字不会因此而改变,所以符合要求。

8.5 系统联调及实验结果分析

将抢答电路部分和计数(倒计时)电路部分按照实验电路原理图连接起来,秒脉冲用数字逻辑箱上的标准1HZ脉冲代替,认真仔细检查之后,接通电源。

电路基本可以实现抢答器的所有功能。

9改进意见及收获体会

本电路基本可以完整实现实验预期的主持人控制、答题时限控制、抢答锁存、抢答倒计时、强大报警等功能。

改进意见:抢答时限的倒计时预置数编码电路可以改成优先权编码器,这样可以使电路工作得更加顺畅;此外,本电路所使用的倒计时至“00”锁定利用的是七段数码管显示“0”时F(显示1)、G(显示0)两个管脚与显示其他数值时完全不重复的原理构成的,如果采用两片倒计时计数器74LS192的借位端子作为锁定信号,可节省2个二输入与非门,且可以降低传输延迟。

收获体会:经过了将近一周的数电课程设计,我终于把数电知识真正实现了实际应用,感到收获挺多。当初感觉并不困难的电路模块设计,和搭档设计的时候却发现,小模块设计也有许多小技巧,同时,个人的知识是有限的,只有靠团队合作,才能慢慢发现思路中的错误与不足,并且一点点地改正。

这次课程设计也再次让我看到理论与实践的差别和联系,理论固然重要,然而我们要在实践中发现错误,并解决错误,也提高了自己的动手能力和实际解决问题的能力。一种学习态度:认真、严谨的学习态度。这就是我的另一个收获,不仅仅是做课程设计,无论是做什么研究,都必须要有一种认真严谨的学习态度,比如说,独立思考独立完成,认真接线,仔细检查等,这些都是对我们自身能力的一种培养,在以后的学习甚至工作中,很多东西都只能靠自己去独立思考完成,因此我们也藉此学会了一种独立思考的学习态度。

无论最后的结果是怎样,你参与了,你就肯定有收获。在这几天可以说是废寝忘食的课程设计过程中,我也收获了许多,我仍然记得将课程设计做出来的时候,那种喜悦的心情,是难以形容的。

这次的数字逻辑课程设计使我受益匪浅,它不仅增加了我的相关课程知识,锻炼了我的动手能力,了解了合作的重要性,而且让我认识到遇到问题要勇于克服。尽管本次实验困难重重,但最终完成了任务,想想那些挫折也就无所谓了。相信在今后的课程设计中我们一定能做的更好!

此外,还必须感谢老师的帮助与指导。

10器件明细清单

参考文献

[1] 阎石主编.数字电子技术基础(第四版).北京:高教出版社,2000

[2] 童诗白、华成英主编.模拟电子技术基础(第四版).北京:高教出版社,2006

[3] 于卫主编. 现代数字电路与系统综合实训教程。北京:北京邮电大学出版社,2010

数字逻辑课程设计方案智力竞赛抢答器逻辑电路设计方案

内蒙古师范大学计算机与信息工程学院《数字电路》课程设计报告 设计题目智力竞赛抢答器逻辑电路设计指导教师戚桂美职称讲师 姓名*** 学号2009******* 日期2011/7/12

智力竞赛抢答器逻辑电路设计 计算机与信息工程学院 2009级 2009******* 指导教师戚桂美讲师 摘要设计一个可以容纳4名选手或4个代表队比赛的抢答器。设置一个系统清除和抢答控制开关S,该开关由主持人控制。抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 关键字抢答器电路图 74LS74 1引言 智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们增加些科学知识和生活常识。 在进行智力竞赛抢答时,各参赛者考虑好后都想抢先答题。如果没有合适的设备,有时难以分清它们的先后,是主持人感到为难。为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,来做一个公正的裁判员。称之为智力竞赛抢答器。 2设计任务及主要技术指标和要求 2.1 主要的设计指标和要求 主持人没有宣布抢答开始时,抢答不起作用;在主持人宣布抢答开始后,可以进行抢答。它的任务是从若干名参与者中确定出最先的抢答者,立即将其编号锁存,并在LED数码管上显示选手的编号,同时用声和光提示。此外,封锁输入电路,禁止其他选手抢答,优先抢答选选手的编号一直保存到主持人将系统清零为止。为此我们小组决定就这次机会设计一个低成本但又能满足需要的四路智力竞赛抢答器。

2.2 设计任务和要求 (1) 设计一个可以容纳4名选手或4个代表队比赛的抢答器。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 3工作原理 接通电源后: 主持人的开关拨到“清除”状态,此时抢答器处于禁止状态,编号显示器处于“0”;主持人将开关设置为“开始”状态,并宣布“开始抢答”,此时抢答器开始工作。 当参加智力竞赛的选手摁下手中的抢答器时,蜂鸣器和LED数码管会用声和光提示,并显示该小组的编号。 只有最先抢答者的编号才能被锁存,并在LED数码管上显示选手的编号,同时用声和光提示。 由以上两个条件可以想到: 用D触发器来实现,D触发器是一个输出跟输入一样的触发器。 将主持人的开关和D触发器的清零端相连。 D触发器是一个在CP脉冲上升沿时反转的触发器。所以只要有一个抢答器输出为1时,就让所有抢答器的脉冲没有上升沿,这样就轻而易举的让其他小组的抢答无效了。 蜂鸣器和LED数码管的提示延续到主持人清零为止,不能在变。 当一轮抢答结束后,主持人将其清零,准备下一轮抢答。

四人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号:11387121 指导老师:田丽娜

四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 简言之,有选手按下时,显示选手的编号。同时,其他人再按下时电路不做任何处理。也就是说,如果有选手按下以后,别的选手再按的话电路不会显示是他的编号。 4.可用555定时器产生频率为1H z的脉冲信号,作为触发器的CP信号。四.四人竞赛抢答器电路原理及设计

多路智力抢答器实验报告

湖北经济学院 数字电子技术课程设计报告 课题名称:数字电子技术课程设计指导教师: 学生班级: 学生姓名: 学号: 学生院系: 2012年4月

设计任务 一、基本功能 1、设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,分别用八个抢答按钮So、S1、S 2、S 3、S 4、S 5、S 6、S7表示。 2、设置一个由主持人控制的控制开关,用来控制系统清零和抢答。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 二、扩展功能 1、抢答器具有定时抢答的功能,抢答时间为30秒。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出声响,声响持续时间为0.5秒左右。 2、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。

设计报告 一、设计目的 1、学习数字电路中的优先编码器,锁存器,计数器,时序控制电路,多谐振荡器等单元电路的综合运用。 2、掌握各芯片的逻辑功能及使用方法。 3、了解面包板结构及其接线方法。 4、了解数字抢答器的组成及工作原理。 5、熟悉数字抢答器的设计与制作。 二、设计步骤 1、画出原理框; 2、根据原理框图,把框图中每个部分电路设计出来,画出电路图; 3、仿真调试; 4、搜集元器件; 5、搭建电路,实现功能。 三、具体设计过程 1、画出原理框图

竞赛抢答器设计报告

一、设计内容: 为了保证抢答竞赛的公正性、准确性、提高竞赛得分的透明性并能产生激烈的竞赛气氛,要求由电子电路实现一个多人参赛,具备限时开始抢答, 限时结束抢答, 抢答结束后有声、光指示并能加/减计分的“竞赛抢答器”。 二、主要要求及指标: 1. 设一个主持人按键, 供主持人宣布抢答开始. 抢答开始后, 四个抢答 按键才有效, 同时启动限时定时器。 2. 设四个抢答按键供四人抢答使用,第一个抢答键按下后要锁住抢答器, 并用声、光指示,要显示是几号按键抢到。后按的抢答键不起作用。 3. 安排倒计数定时器。开始后若预定时间内无人抢答,自动给出信号停止 抢答。倒计数定时器的时间可以随意预置。倒计数计数脉冲要准确。 4.设两个计分按键,加/减由主持人控制。 5.每组安排一个三位加/减可逆计数器实现计分,从预置的100分开始,答对者加10分,答错则扣10分。 三、设计思路及电路工作原理: 设计思路及原理 根据要求可以将电路分为三大部分,控制抢答结果的抢答电路;负责倒计时的倒计时电路;完成分数加减的计分电路。其中抢答电路最为重要,倒计时电路与计分电路都由抢答电路控制,倒计时电路与计分电路有很多相似的地方所用的芯片应该相似,关键在于如何将三个电路联系在一起。 抢答电路要实现的功能是在主持人开关控制下能够让四个选手抢答,并且在第一个人抢答之后其他人不能抢答。这就要求电路能够进行优先编码并且能够锁存优先编码。编码器使用74LS148能够实现四位的抢答,要实现锁存只需要将该芯片的使能端EI变成高电平。能够让主持人控制编码器可以通过基本RS触发器或者与非门实现,但是后者显然逻辑会比较复杂所以放弃。 倒计时电路,可以通过秒脉冲触发计数器的使其实现倒计时,同时要在有选手抢答后停止倒计时,就需要计数器有一个类似使能端的端口。使用74LS192芯片可以将秒脉冲接入DOWN端UP端接高电平能够实现单一的倒计时。需要倒计时停止的时候,可以给CLR端输入高电平,此时计时器清零。同时也可以使用

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

智力竞赛抢答器设计报告(DOC)

数字电路课程设计智力竞赛抢答器设计报告

目录 一、设计题目 (1) 二、设计要求与设计说明 (1) 三、课题分析与设计说明 (2) 四、设计思路及原理 (2) 五、单元设计及实现 (3) 1、抢答信号产生电路 (3) 2、编码电路 (3) 3、锁存电路 (4) 4、译码电路 (5) 5、延时电路 (6) 6、振荡电路 (7) 六、总体设计及实现 (9) 七、调试仿真 (10) 八、零件表 (12) 九、设计总结 (13) 十、参考资料 (13)

一、设计题目 智力竞赛抢答器 二、设计要求与设计说明 1、最多可以容纳5名选手或5个代表队参加比赛,他们的编号分别为1、 2、 3、 4、5,各用一个抢答按钮,其编号与参赛者的号码一一对应,此外,还有一个按钮给主持人用来清零,这些按钮(共六个)均采用自制的触摸按钮。 2、抢答器具有数据锁存功能,并将所锁存的数据用LED数码管显示出来。主持人将抢答器清零后,若有参赛者的手指触及抢答器触摸按钮,数码管立即显示出最先动作的选手的编号,同时蜂鸣器发出间歇式声响,声音持续时间约一秒钟。 3、抢答器对参赛选手动作的先后有很强的分辨能力。即使他们动作的先后只相差几毫秒,抢答器也能分辨出来。也就是说,数码管不显示后动作选手的编号,只显示先动作选手的编号并保持到主持人清零为止。 4、在各抢答按钮为常态时,主持人可用清零按钮将数码管变为零态,直至有人使用抢答按钮为止。 5、画出总体电路图并列出元器件清单。

三、课题分析与设计说明 智力竞赛抢答器的抢答部分由抢答信号产生电路、编码电路组成,锁存和显示最先动手选手编号的功能由锁存电路、译码电路组成,延时电路在按钮按下后提供一个约一秒的电平信号,经振荡电路振荡送至蜂鸣器,使蜂鸣器产生约一秒的提示音。主持人清零功能由信号产生电路和锁存电路共同实现。 四、设计思路及原理 模块化电路:方便电路安装和调试。 将电路分为抢答信号产生电路、编码电路、锁存电路、译码电路、延时电路、振荡电路。 抢答信号产生电路是一组自恢复按钮,提供用以编码的电平信号,编码电路实现将按钮编号编码为二进制数,锁存电路实现对编码的二进制数的锁存,以及将二进制数输入译码电路,译码电路实现将二进制数译码并显示在数码管上,延时电路在按钮按下后产生一个约一秒的电平信号,触发振荡电路,振荡电路起振,蜂鸣器产生约一秒的间断提示音。

数字电路3人抢答器实验报告

《数字电路与逻辑课程设计》报告 (本科) 题目三人抢答器设计 专业网络工程 班级 1305022 学号 11 姓名牟黎明评定成绩 指导教师李小平、易兴兵 完成时间 2015年 6月1日----2015年6月5日 电子工程学院 二零一五年五月

一、实习目的: 1. 数字电子技术知识的综合应用,包含: (1)门电路的应用 (2)编码器的应用 (3)JK触发器的应用 (4)显示译码器的应用 (5)七段数码显示器的应用 2. 学习电路安装图的绘制方法。 3. 学习电路的调试方法。 二、实习设备及实验器件清单: 实验器件: 1.双下降沿JK型触发器74LS112 2个 2.三3输入与非门74LS10 2个 3.四2输入与非门74LS00 2个 4.4线-七段译码器/驱动器74LS48 1个 5.LED共阴极显示器AR547 1个 6.触发开关5个 7.10K电阻5个 8.1K电阻3个 9.铜导线若干 10.锡焊丝若干

实验工具: 1.电烙铁每组一个 2.剪刀每组一把 3.镊子每组一把 4.学生电源每两组一个 5.图纸每组一张

三、实习内容 1. 原理方框图 2. 电路原理图

3. 抢答流程图 四、阐述电路工作原理。 当主持人按动复位开关SW对前一次的记录进行清除,座位显示器显示“0”,进入抢答准备阶段,但此时选手无法抢答(抢答无效,信号被封锁)。 当主持人按动开关SW1时,进入抢答时段,锁存电路输出高电平作用于触发器1、2、3的JK端。抢答信号(K1、K2、K3)以负脉冲形式作用于JK触发器时钟端,最早抢入的输入信号使该电路触发器最先翻转,输出的抢答信号一路经门F4、F5以下降沿作用于锁存电路(JK 触发器,工作于置“0”状态)时钟端,输出低电平使三路JK触发器的工作状态由“翻转”变为“保持”,后续的抢答信号不能使其他触发器产生翻转。这样就封锁了后到的信号。输出的抢答信号同时以低电平驱动座位提示灯。 三路JK触发器输出的组合信号经门电路F1、F2、F3、F6、F7、组成的识别电路,驱动座位显示电路,以数字显示的方式显示抢答成功选手座位号。 五、三人抢答器安装图绘图纸的设计。

抢答器实验报告要点

扬州大学能源与动力工程学院本科生课程设计 题目:四人智力竞赛抢答器 课程:数字电子技术基础 专业:电气工程及其自动化 班级:电气0901 学号:091302111 姓名:冯承超 指导教师:年漪蓓蒋步军 完成日期: 2011年6月24日

总目录第一部分:任务书 第二部分:课程设计报告 第三部分:设计图纸

第一部分 任 务 书

《数字电子技术基础》课程设计任务书 一、课程设计的目的 1、使学生进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力; 2、使学生基本掌握常用电子电路的一般设计方法,提高电子电路的设计实验能力; 3、熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。 二、课程设计的要求 1、设计时要综合考虑实用、经济并满足性能指标要求; 2、必须独立完成设计课题; 3、合理选用元器件; 4、按时完成设计任务并提交设计报告。 三、课程设计进度安排 1、方案设计;(半天) 2、电路设计:(一天) 3、装配图设计:(半天) 4、电路制作:(两天) 5、总结鉴定:(一天) 四、设计要求 1用中小型规模集成电路设计出所要求的电路; 2、在实验箱上安装、调试出所设计的电路。 3、部分课题要求用可编程逻辑器件(FPGA/CPLD)设计实现; 4、在EDA编程实验系统上完成硬件系统的功能仿真。 5、写出设计、调试、总结报告。 五、使用仪器设备 1、稳压电源(±5V,±15V); 2、实验电路箱; 3、低频信号发生器; 4、示波器。 六、设计总结报告主要内容 1、任务及要求; 2、方案特点; 3、各组成部分及工作原理(应结合框图写); 4、单元电路设计与调试; 5、总逻辑图; 6、总装配图。

数字式竞赛抢答器设计报告

数字式竞赛抢答器设 计报告 1、设计任务与要求 (1)设计一个可容纳7组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 (2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 (3)设置一个主持人“复位”按钮。 (4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,由指示灯显示抢答组的编号,同时扬声器发出2~3秒的音响。 选做扩展功能: (5)设置一个计分电路,每组开始预制100分,由主持人计分,答对一次加10分,答错一次减10分。 2、设计原理

定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分构成,主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成各选手的得分显示功能。 定时抢答器的工作过程是:接通电源时,主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯;抢答开始时,主持人将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,这时,抢答器完成以下工作:(1)优先编码器电路立即分辨出抢答者编号,并由锁存器进行锁存,然后由译码显示电路显示编号;(2)扬声器发出短暂声响,提醒主持人注意;(3)控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;(4)当选手将问题回答完毕,主持人操作计分开关,计分电路采用十进制加/减计数器、数码管显示。本轮抢答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。 单元电路设计: (1)抢答电路 抢答电路包括抢答按钮、优先编码电路、锁存器、译码显示电路。抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按键操作无效。

四人抢答器实验报告

福州大学电气工程与自动化10级 设计性实验报告 实验目的: 1、掌握电路板焊接技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 实验所用原件清单: 芯片:74LS175,74LS192,74LS48x2,74LS00,74LS20x2,555,电阻:

R1=1K,R2=10K,电容:C=0.1μF,七段共阴极数码管x2 原理(包括主要公式、电路图): 如下图所示为四人抢答电路,电路中的主要器件是74LS175型四上升沿D触发器、74LS192可逆十进制计数器和两个译码显示电路。 抢答前先用RD’清零,Q1~Q4均为0,相应的选手编号数码管显示0;Q1’~Q4’均为1,G1输出0,G2输出1,CP1可经过G3输入到74LS175。同时,倒计时数码管被置为9。抢答开始,RD’置1,倒计时开始。若S1首先按下,则D1和Q1均变为1,相应的选手编号数码管显示1(以此类推);555芯片的4脚接收到高电平,发生振荡,导致喇叭发出声音;同时,G2输出为0,使得175和192芯片不接收脉冲,175芯片进入自锁状态,此时再按S1~S4无效果,而192芯片也停止计时,倒计时数码管保持抢答时的数字不变。若倒计时到0,S1~S4均未按下,则倒计时停止,倒计时数码管保持0;175芯片进入自锁状态。 利用RD’清零,进入下一次抢答。

心得体会及其他: 1、本次设计性实验令我受益匪浅:在设计的过程中,对于各个芯片管脚功能和四路抢答电路原理的学习让我得到了更多知识;对电路板的元件布局锻炼了我的思维能力;在焊接过程中,我又一次提高了我的焊接技术和排查短路、虚焊的能力;在调试过程中,我懂得了分功能、局部进行故障排查,并取得良好效果。 2、故障排查: (1)、电路板电源与地线短路:可逐个对接电源、地的点进行排查(排查时应把它们和响应的电源或地断开)。 (2)、数码管个别段不能发光:怀疑为数码管管脚之间短路,可用电烙铁肃清两脚之间的间隙。 (3)、抢答功能不能实现:抢答功能局部电路接错或虚焊,可用

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

路抢答器实验报告

系别:电子工程系 班级:电子101 学号:23 姓名:李光杰 指导老师:佘明辉2011年6月23日星期四

八路智力竞赛抢答器设计 一.实验目的 掌握抢答器的工作原理及其设计方法。 学会用Multisim8软件操作实验内容。 掌握设计性试验的实验方法 二.实验要求 八路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00. 三.实验原理 根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮

智能抢答器实验报告

电子科技大学 实 验 报 告 学生姓名: 学号: 指导教师: 日期:

一、实验室名称: 二、实验项目名称: 智能抢答器设计 三、实验原理: 4人抢答器可同时供4位选手参加比赛,分别用player1 player2 player3 player4表示,节目主持人设置一个复位按键clear,用于控制系统的清零.抢答器具有锁存和显示功能,能够显示哪位选手获得抢答,并能显示具体选手的号码,同时屏蔽别的选手,再按选择信号.在选手回答问题时在规定时间到达发出报警主持人按键清零,一次抢答结束. 在本设计中,共4位选手,即4个输入信号,考虑到优先原则,所以引用一个状态变量,当这个标志为”1”的时候,说明有选手已经抢答,则对其他选手输入位信号进行屏蔽,然后锁存这个选手的编号并显示.采用两个数码管显示,计数采用BCD码输出. 四、实验目的: ?学习QUARTUSⅡ软件的使用方法 ?学习VHDL或VerilogHDL语言 ?学会用VHDL或VerilogHDL语言进行简单的编程

五、实验内容: 完成智能抢答器实验程序的编写,并进行仿真后分析验证 六、实验器材(设备、元器件): PC机,QUARTUSⅡ软件 七、实验步骤: ?熟悉QUARTUSⅡ软件(以简单实例) ?用VHDL开发FPGA的完整流程.继续掌握QUARTUSⅡ软件使用方法. ?设计出抢答器程序进行调试, ?用QUARTUSⅡ进行编译,综合及仿真. 八、实验数据及结果分析: 设计程序如下: module qdq(player1,player2,player3,player4,res,clk,q1,q2,q3,q4,row,ra,Q1,beep); input player1,player2,player3,player4; input res,clk; output[6:0]row,ra; output[3:0]Q1; output q1,q2,q3,q4,beep; reg q1,q2,q3,q4,beep; reg[6:0]row,ra; reg clk1; reg[3:0]Q1; reg[3:0]y; reg[6:0]i;

八路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目: 八路智力竞赛抢答器设计 姓名: 专业: 电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

CD4511-NE555八路抢答器实验报告1

电子课程设计报告4511型八路数显抢答器 学生姓名: 专业:电气自动化技术 班级:10电气一班 学号: 指导教师: 同组成员: 时间:2011年11月15号至2011 年11月25

第一章绪论 1.1关于4511型数显抢答器 八路智能抢答器主要由数字优先编码电路、锁存/译码/驱动电路于一体的CD4511集成电路、数码显示电路和报警电路组成。优先编码电路、C D4511集成电路将参赛队的输入信号在数码显示管上输出,用报警电路对时间进行严格控制,这样就构成了八路智能抢答器电路。 八路数字抢答器电路包括抢答,编码,优先,锁存,数显,复位及抢答键。抢答器数字优先编码电路由D1-D12组成,实现数字的编码。CD4511是一块含BCD-7段锁存/译码/驱动电路于一体的集成电路。抢答器报警电路由NE555接成音多谐振荡器构成。抢答器数码显示电路由数码管组成,输入的BCD码自动地由 CD4511内部电路译码成十进制数在数码管上显示。 1.2 选题的目的和意义 通过这次课程设计,让我了解到了八路智能抢答器的结构组成和工作原理,同时了解焊接的方法和技巧。 1.3 课题研究的内容 八路智能抢答器是采用了CD4511集成芯片来实现功能要求的,在抢答过程中,每个选手都有一个抢答按钮。在主持人按下复位键宣布抢答开始的时候,选手就开始进行抢答,在指定时间内选手进行抢答,数码显示屏上会显示最先抢答选手的编号。如果主持人没有按下开始键而选手就抢答视为犯规,数码显示屏显示犯规者的编号,扬声器持续发生。主持人可按复位键,新一轮抢答开始。

第2章抢答器的系统概述 2.1 系统的主要功能简介 4511型八路数显抢答器的主要功能有如下三点: 1. 可同时供8名选手参加比赛,其相应的编码分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应。 2.给主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。 2.2 抢答器的工作过程 1、开始上电之后,主持人按复位键,抢答开始。如有选手按下抢答键,报警电路会发出讯响声,并且数码显示电路上会显示成功抢答的选手的编号。 2、当有选手抢答成功之后,系统就进行了优先锁存,其他抢答选手抢答无效。 3、如果主持人未按下复位键,而有人按了抢答按键,此次抢答无效,只有当主持人按下了复位键,选手才能进行顺利抢答。 总而言之,本课题利用简单逻辑数字电路设计了智能抢答器,该抢答器具有基本的强大功能,提高了系统的可靠性、简化了电路结构、节约了成本,但是此抢答器功能还不够强大,还有很多功能无法实现,需要我们继续学习和研究。

智力抢答器实验报告

单片机课程设计 实 验 报 告 班级:通信工程13-2班 学号:2013211576 姓名:陈立 指导老师:王琼 2016年6月20日~2016年7月4日

合肥工业大学课程设计任务书

设计课题智力竞赛抢答器 一课题背景 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。目前大多数抢答器均使用单片机及外围接口实现,基于单片机的智力竞赛抢答器系统框图如下图所示。 抢答开关电路分别设定选手抢答键若干个、主持人开始抢答键和复位键,每位选手对应一个抢答指示灯。系统工作后,首先由主持人按下开始键,单片机进入30秒倒计时,选手们开始抢答,如果在30秒内无人抢答,则自动放弃,进入下一题;若有人抢答,则单片机自动检测最先抢答选手信息并做出处理,封锁输入电路,禁止其他选手抢答,使选手对应的抢答指示灯点亮,LED显示器上同步显示抢答选手的编号,同时扬声器发声提示,表示抢答成功。此后,单片机进入90秒回答问题倒计时,若选手在90秒内回答完问题并回答正确,主持人按加分键,LED显示器上显示选手的得分;若选手回答完问题超时或回答错误,则主持人按减分键,LED显示器上显示选手的得分。主持人按下复位键,系统返回到抢答状态,进行下一轮抢答。 在主持人按下开始抢答键前,有选手提前按下抢答键时,视为抢答犯规,系统红色报警灯点亮,LED显示器显示超前抢答报警信息,同时扬声器发声提示抢答犯规。当有几位选手同时按下抢答键时,由于在时间上必定存在先后,系统将

自动锁存最先按键选手信号。 二课题设计要求 (1)基本要求 本课题硬件部分要求画出系统模块连接图,在实验平台上设计组成智力竞赛抢答器系统,并在其上调试自己设计、编制的程序,直到正确、完善达到要求为止。在软件程序设计方面,要完成以下基本内容:设计编写系统各模块的软件程序并调试通过,画出各程序模块的流程图。实现数据(选手编号)锁存和显示、超前抢答报警、抢答时间和回答问题时间倒记时显示、扬声器发生提示等基本功能。 (2)附加要求 附加要求则是根据学生学习单片机掌握和运用的情况选作,这给同学们开动脑筋发挥自己的创造性思维留出了空间。系统还可以增加以下功能: ①支持人可根据题目难易程度设置抢答限时时间、答题限时时间,而不是采用固定的抢答限时时间和答题限时时间。抢答限时时间和回答问题的时间设定在1~99秒内,通过键盘进行加减。 ②抢答限时倒计时和答题限时倒计时在达到最后5秒时进行声光报警,提示选手抢答剩余时间答题剩余时间。扬声器每秒响一次,红色发光二极管闪烁点亮,频率为0.5Hz。 ③抢答倒计时到达0时,报警,并锁定抢答开关禁止选手抢答。 ④增加计分功能,当答题结束后,根据选手的答题情况给选手进行相应的加减分;查询功能,实现每位选手的分值查询。 本次课题设计完成以上全部基本要求和附加要求,符合单片机课程设计的基本要求,并在此基础上额外实现了按键时响铃提示功能,具体要求实现以及操作见报告后半部分。

多路智力竞赛抢答器设计实验报告材料

课程设计报告

多路智力竞赛抢答器设计 目录 一前言 (1) 1设计内容及要求 (1) 2实验内容及方法 (2) 3工作过程简介 (2) 二、正文 (4) 1系统概述 (4) 2单元电路设计方案和原理说明 (4) 抢答器电路设计 (4) 定时电路设计 (6) 3电路的安装与调试 (8) 4心得与体会 (9) 三、元器件明细表 (10) 四、参考文献 (10)

前言 一、设计内容及要求: 设计内容:本课题要求设计一台可供8名选手参加比赛的智力竞赛抢答器。 设计要求: 1.基本功能 (1)抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。用来控制系统清零(编号显示数码管灭灯)和抢答的开始。 (3)抢答器具有锁存与显示功能。即抢答开始后,选手按动按钮,锁存相应的编号,并在编号显示器上显示该编号。同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能 (1)抢答器具有定时抢答功能。要求定时器开始倒计时,并用定时显示器显示倒计时时间。 (2)参赛选手在设定时间(30秒)内抢答,抢答有效,同时定时器停止倒计时,编号显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (3)如果定时抢答时间已到,却没有选手抢答时,本次抢答无效,系统封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。

二、实验内容及方法 1.组装调试抢答器电路。 2.设计可预置时间的定时电路,并进行组装和调试。当输人1Hz的时钟脉冲信号时,要求电路能进行减计时,当减计时到零时,能输出低电平有效的定时时间到信号。 3.完成定时抢答器的联调,注意各部分电路之间的时序配合关系。然后检查电路各部分的功能,使其满足设计要求。 三,工作过程简介 定时抢答器的总体框图(如图1.1)所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答按钮时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。

路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目:八路智力竞赛抢答器设计 姓名: 专业:电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

数字竞赛抢答器课程设计Verilog语言实现

数字竞赛抢答器课程设计Verilog语言实现

可编程器件与应用课程设计报告 姓名:XXX 学号:XXXXXXXXXX 专业班级:信息XXX 题目:数字式竞赛抢答器 指导老师:

一、绪论 背景: 随着电子技术的发展,可编程逻辑器件(PLD)的出现,使得电子系统的设计者利用EDA(电子设计自动化)软件,就可以独立设计自己的专用集成电路(ASIC)器件。可编程逻辑器件是一种半导体集成器件的半成品。在可编程逻辑器件的芯片中按一定方式(阵列形式或单元阵列形式)制作了大量的门、触发器等基本逻辑器件,对这些基本器件适当地连接,就可以完成某个电路或系统的功能。 数字式竞赛抢答器控制系统是工厂、学校和电视台等单位举办各种智力竞赛等娱乐活动中经常使用的重要基础设备之一。目前设计抢答器的方法很多,例如用传统的PCB板设计、用PIC 设计或者用单片机设计。而用Verilog可以更加快速、灵活地设计出符合各种要求的抢答器,优于其他设计方法,使设计过程达到高度自动化。本文介绍的4路数字式竞赛抢答器基于Verilog 语言、以EDA技术作为开发手段、采用CPLD (复杂的可编程逻辑器件)作为控制核心设计而成。与传统设计相比较,不仅简化了接口和控制,

也提高了系统的整体性能和工作可靠性,具有电路简单、成本低廉、操作方便、灵敏可靠等优点。意义: 数字式竞赛抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求。 二、实现方案 设计要求: 1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置5分,由主持人记分,答对一次加1分,答错一次减1分。

相关文档
相关文档 最新文档