文档库 最新最全的文档下载
当前位置:文档库 › 数字秒表课程设计 最大为99小时59分59秒

数字秒表课程设计 最大为99小时59分59秒

数字秒表课程设计 最大为99小时59分59秒
数字秒表课程设计 最大为99小时59分59秒

电子技术课程设计报告

2013年12月

前言

数字式秒表是一种常用的计时工具,以其价格低廉、走时准确、使用方便、功能多而广泛用于体育比赛中,下文介绍了如何利用中小规模集成电路和半导体器件进行数字式秒表的设计。本设计中数字秒表的最大计时是99小时59分9/10秒,也就是说分辨率是0.1秒,最后计数结果用数码管显示,需要实现清零、启动计时、暂停计时、继续计时等功能。当计时停止的时候,由开关给出一个清零信号,使得所有显示管全部清零

在本次实验中由六片74LS160构成两个100进制计数器和一个60进制计数器来实现秒表的计数功能。由于需要比较稳定的信号,我们用555定时器与电阻和电容组成的多谐振荡器或石英晶体多谐振荡器产生100HZ的信号,用六个数码管显示计时,最后在电路中加入了两个控制开关一个控制电路的启动和暂停;另一个控制电路的清零。

目录

题目摘要关键词设计要求 (3)

第一章系统概述 (4)

第二章单元电路与分析 (5)

2.1 秒信号发生器 (5)

2.1.1 选择信号发生器方案 (5)

2.1.2石英晶体多谐振荡器 (7)

2.1.3方案对比与选择 (9)

2.1.4 555构成的多谐振荡器仿真图 (9)

2.2消抖电路及其原理 (10)

2.3分、秒、毫秒计数器电路设计 (10)

2.3.1选择计数器的方案 (10)

2.3.2 74LS160计数器功能的介绍 (11)

2.3.3计数器最终连线图 (12)

2.4译码器 (13)

2.4.1译码器的基本原理 (13)

2.4.2 74LS48显示译码器管脚图 (13)

2.4.374LS48功能介绍 (13)

2.5数码管 (15)

2.5.1七段数码管工作原理 (15)

2.5.2七段数码管内部结构介绍 (16)

2.5.3显示器匹配电路图 (17)

第三章系统综述 (18)

3.1总电路图 (18)

第四章结束语 (19)

4.1课程总结 (19)

4.2故障分析 (19)

参考文献 (20)

元件明细表 (20)

鸣谢 (21)

收获和体 (21)

评语 (23)

数字式秒表

摘要:数字式秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。

数字式秒表从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做数字式秒表由信号发生系统和计时系统构成。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 –160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由7447构成,为4-7译码。显示器由数码管构成。具体过程为:由晶体震荡器产生100HZ脉冲信号,传入计数系统,先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“99时“分”、“秒”、”依次在数码管显示出来。该秒表最大计时值为99时59分59秒9/10,“分”和“99时”为一百进制计数器组成,“秒”为六十进制计数器组成。

关键词: 计时精度计数器显示器

设计要求:

1.秒表最大计时值为99时59分59秒9/10;

2.7位数码管显示,分辨率为0.1秒;

3.具有清零、启动计时、暂停及继续计数等控制功能;4.控制操作键不超过二个。

第一章 系统概述

所为数字式秒表,所以必须有一个数字显示。按设计要求,须用七段数码管来做显示器。题目要求最大记数值为99,59,59,那则需要六个数码管。要求计数分辨率为0.1秒,那么我们需要相应频率的信号发生器。

选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。其核心部分使用六个74160计数器采用串联方式构成,这种连接方式简单,使用元器件数量少。由于555定时器的比较器灵敏度较高,输出驱动电流大,功能灵活,再加上电路结构简单,计算比较方便,所以CP 脉冲是由555多谐振荡器产生的。

数字式秒表实际上是一个频率(100HZ )进行计数的计数电路。由于数字式秒表计数的需要,故需要在电路上加一个控制电路,该控制电路清零、启动计时、暂停及继续计数等控制功能,同时100HZ 的时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。数字电子钟的总体图如图所示。由图可见,数字电子钟由以下几部分组成:555振荡器和分频器组成的秒脉冲发生器;防抖开关;秒表控制开关;一百进制秒、分计数器、六十进制秒计数器;以及秒、分的译码显示部分等

图1-1 原理流程图

第二章单元电路设计与分析

§2.1秒信号发生器

§2.1.1选择信号发生器方案

方案一:用晶体震荡器,由石英晶体构成的矩形波信号发生器

石英晶体多谐振荡器

图2-1-1石英晶体的电抗频率特性和符号图2-1-2 石英晶体多谢振荡器如图2-1-1所示,给出了石英晶体的符号和电抗的频率特性,把石英晶体与对称式多谐振荡器中的耦合电容串联起来,就组成了如图2-1-2所示的石英晶体多谐振荡器。

由此可见,石英晶体多谐振荡器的振荡频率取决于石英晶体的固有谐振频率f0 ,而与外接电阻,电容无关,如石英晶体固有频率是5MHZ,那么输出的频率也是5MHZ。其实石英晶体的谐振频率由石英晶体的结晶方向和外形尺寸所决定,具有极高的频率稳定性。它的频率稳定度(Δfo / fo)可达10-10~10-11,足以满足大多数数字系统对频率稳定度的要求。

在图2-1-2电路中,若取TTL电路7404用作G1和G2两个反相器,Rf=1kΩ,C=0.05μF,则其工作效率可达几十兆赫。

74LS90计数器

74LS90为中规模TTL集成计数器,可实现二分频、五分频和十分频等功能,它由一个二进制计数器、一个五进制计数器和一个十进制计数器构成。其引脚排列图1.2(a)和功能表1.2(b)如下所示:

图2-1-3 74LS90D 的引脚排列图

74LS90功能: 通过不同的连接方式,74LS90可以实现四种不同的逻辑功能;而且还可借助R01(2管脚)、R02(6管脚)对计数器清零,借助R91(6管脚)、R92(7管脚)将计数器置9。其具体功详述如下:

(1) 计数脉冲从INA 输入,QA 作为输出端,为二进制计数器(或二分频器)。 (2) 计数脉冲从INB 输入,QD 作为输出端,为异步五进制加法计数器(或五分频器)。 (3) 若将INB 和QA 相连,计数脉冲由INA 输入,QD 作为输出端,则构成十进制加法计数

器(或十分频器)。 (4) 清零、置9功能。

a) 异步清零

当R01、R02均为“1”;R91、R92中有“0”时,实现异步清零功能,即QDQCQBQA=0000。 b) 置9功能

当R91、R92均为“1”;R01、R01中有“0”时,实现置9功能,即QDQCQBQA =1001。 本设计采用的是74LS90的五分频和十进制计数功能。其中,74LS90(1)~74LS90(4)是对5MHz 的脉冲信号进行十分频,得到500HZ 的频率,再经过74LS90(5)实现五分频,实现输入为100HZ(0.01s)。

§2.1.2石英晶体多谐振荡器

图2-1-4石英晶体多谐振荡器

工作原理:当信号源工作时,由石英晶体(固有频率为5MHZ)多谐振荡器输出5MHZ的频率。

首先,经过四个分频器74LS90四次十分频之后,得到500HZ的频率,最后,再经过74LS90一次五分频,就得到了最终的100HZ的信号源。

方案二:用集成电路555定时器与电阻和电容组成的多谐振荡器

555定时器的功能

555定时器组成及工作原理如下:

图2-1-5 555定时器电路结构图

如图2-1-5是555定时器电路结构的简化原理图和引脚标识。由电路原理图可见,该集成电路由下述几部分组成:串联电阻分压电路、电压比较器C1和C2、基本RS触发器、放电三极管T以及缓冲器G组成。(注释:编号555的内涵是因该集成电路的基准电压是由三个5kΩ电阻分压组成)

定时器的功能主要取决于比较器,比较器C1和C2的输出控制着RS触发器和放电三极管T的状态,R D为复位端。当R D=0时,输出U0=0,T管饱和导通。此时其他输入端状态对电路清零0状态无影响。正常工作时,应将R D接高电平。

当控制电压输入端5脚悬空时,比较器C1、C2的基准电压分别是2Ucc/3和Ucc/3。如果5脚Uic外接固定电压,则比较器C1、C2的基准电压为Uic和Uic/2。

由图1中可知,若5脚悬空,当Ui6<2Ucc/3,Ui2

当Ui6<2Ucc/3,Ui2>Ucc/3时,比较器C1和C2输出均为高电平,即R=1, S=1.。RS 触发器维持原状态,使Uo输出保持不变。

当Ui6>2Ucc/3,Ui2>Ucc/3时,比较器C1输出低电平,比较器C2输出高电平,即R=0,S=1,基本RS触发器置0,放电三极管T导通,输出Uo=0。

当Ui6>2Ucc/3,Ui2

综上分析,可得555定时器功能表如下表2-1-1所示:

表2-1-1

555构成的多谐振荡器

当接通电源Ucc后,电容C上的初始电压为0 ,比较器C1、C2输出为1和0,使Uo=1,使放电管T截止,电源通过R1、R2向C冲电。Uc上升至2Ucc/3时,RS触发器被复位,

使Uo=0,T导通,电容C通过R2到地放电,Uc开始下降,当Uc降到Ucc/3时,输出Uo又翻回到1状态,放电管T截止,电容C又开始充电。如此周而复始,就可在3脚输出矩形波信号。

图2-1-6 555构成的多谐振荡器电路图

图2-1-7 555多谐振荡器工作波形

§2.1.3方案对比与选择

在仿真过程中,由于软件问题,晶体振荡器无法输出波形,所以虽然其频率稳定性很高,但其工作时,还需再加分频器,分频后的精确度很难调试,而总体来说555定时器的比较器灵敏度较高,输出驱动电流大,功能灵活,再加上电路结构简单,计算比较方便,所以最终只好选择由555多谐振荡器产生本课程设计所需的CP脉冲。

§2.1.4 555多谐振荡器仿真图

根据设计要求,我们需要产生一个频率为100HZ的信号,由于f=1/T,带入可以算出R1=R1=4.8KΩ,在仿真软件上仿真的时候我们可以设置电阻为4.7KΩ,加上一个1KΩ的电位器来调节脉冲信号的精确度。我们就可以得到一个频率为100HZ的脉冲了

图2-1-8 555构成多谐振荡器仿真图

§2.2 消抖电路及其原理

图2-2-1 防抖开关图

消抖原理:具有锁存功能所致,由两个集成与非门元件构成。接在机械开关K的后面,防止开关K在打开和闭合时一些假信号串入逻辑电路。

§2.3 分、秒、毫秒计数器电路设计

§2.3.1选择计数器的方案

这里我们选择用计数器74LS160芯片,通过乘数法或反馈置数法构成100进制和60进制计数器。经方案论证,本课程计数器选择方案如下:

●100进制计数器

乘数法:将两片74LS160计数器直接级联则可得到100进制计数器。其电路连接如图2-3-3

图2-3-3

●60进制计数器

乘数法:将一片74LS160设置成六进制计数器,再将其与一片74LS160级联,即可得到一个60进制计数器。其电路连接如图N-N

图2-3-4

74LS160是十进制计数器,设计一百进制计数器只需将两片74LS160级联即可,而

74LS161是十六进制计数器,其一百进制计数器的连接相对而言较复杂。对于六十进制计数

器,从电路图中我们同样可以知道74LS160 的连接比74LS161的连接简单,相对而言所需

的元器件也少。综上,我们选择选择了用74LS160计数器。

§2.3.2 74LS160计数器的功能介绍

计数进74LS160D的引脚如右图2-3-5所示,从图中可以看到

74LS160D共有16个引脚吗,其中有Cp脉冲输入引脚clk(下降

沿有效),LOAD为预置数控制端(低电平有效),CLR为异步清

图2-3-5

零端(低电平有效), A、B、C、D为预置数输入端,ENP和ENT是计数使能端(高电平有效),RCO是进位输出端,QD、QC、QB、QA分别是计数输出位,其工作原理图如图2-3-6所示.

1)异步清零:当CLR端输入为低电

平时候,其它输入端不管输入什么

值,计数器将直接被清零,也就是

说输出的QD、QC、QB、QA为0000.

2)同步预置数:当CLR端输入高

电平,LOAD端输入低电平时,且

有Cp脉冲下降沿作用时,完成将

输入端DCBA的数据置入计数器操

作,使Q D Q C Q B Q A=DCBA.由于这个操

作需要CP下降沿同步,所以称为

同步预置数。图2-3-6 74LS160计数器工作原理图3)保持:当LOAD、CLR均输入高电平时,如果ENP*ENT=0,此时计数器保持输入原状态不变,不管有没有CP脉冲作用。不过当ENT=0时,进位输出RCO=0。

4)计数:当CLR=LOAD=1,ENP=ENT=1时,74LS160D处于计数状态,对CP脉冲下降沿进行四位二进制加计数。

§2.3.3计数器最终连线图

一百进制和六十进制计数器之间、六十进制和一百进制之间的接法如下图2-3-7所示

图2-3-7

§2.4 译码部分

§2.4.1 译码器的基本原理

译码部分最主要的组成器件就是译码器了,译码器是将输入的二进制码转变为特定信输出的电路,译码是编码的逆过程。译码器也是一种多输出的组合逻辑电路。从原理上将,它是把N个输入变量变换为它所对应的M个输出状态。每输入一组二进制代码,在M个输出状态中最多有一个为“1”(其余为“0”)或者有一个为“0”(其余为“1”)。一次译码器中和输入二进制代码对应有输出信号的那条线显示有特定信号(和其他输出线不同)。例如,当输入某一单元地址码,译码器就将这组代码译出一个特定的信号(比如为“0”),送到要找的单元(往往送到单元的使能端),接着才能更换(写入)或取出(读出)单元中的内容,进行算术或逻辑运算。译码器的输入端数n和输出端数m有如下关系:2n m,2n=m 时,称为全译码;当2n>m时,称为部分译码。

§2.4.2 74LS48显示译码器管脚图

74LS48是BCD-7段译码器/驱动器,输出高电平有效,专用于驱动LED七段共阴极显示数码管。其管脚功能如图2-4-1所示。

图2-4-1 74LS48管脚图

§2.4.3 74LS48功能介绍

74LS48除了有实现7段显示译码器基本功能的输入(DCBA)和输出(Ya~Yg)端外,74LS48还引入了灯测试输入端(LT)和动态灭零输入端(RBI),以及既有输入功能又有输出功能的消隐输入/动态灭零输出(BI/RBO)端。其功能表如下表2-4-1

表2-4-1

由74LS48真值表可获知74LS48所具有的逻辑功能:

(1)7段译码功能(LT=1,RBI=1)

在灯测试输入端(LT)和动态灭零输入端(RBI)都接无效电平时,输入DCBA经74LS48译码,输出高电平有效的7段字符显示器的驱动信号,显示相应字符。除DCBA = 0000外,RBI 也可以接低电平,见表2-4-1中1~16行。

(2)消隐功能(BI=0)

此时BI/RBO端作为输入端,该端输入低电平信号时,表2-4-1倒数第3行,无论LT 和RBI 输入什么电平信号,不管输入DCBA为什么状态,输出全为“0”,7段显示器熄灭。该功能主要用于多显示器的动态显示。

(3)灯测试功能(LT = 0)

此时BI/RBO端作为输出端,端输入低电平信号时,表2-4-1最后一行,以及DCBA输入无关,输出全为“1”,显示器7个字段都点亮。该功能用于7段显示器测试,判别是否有损坏的字段。

(4)动态灭零功能(LT=1,RBI=1)

此时BI/RBO端也作为输出端,LT 端输入高电平信号,RBI 端输入低电平信号,若此时DCBA = 0000,表2-4-1倒数第2行,输出全为“0”,显示器熄灭,不显示这个零。DCBA≠0,则对显示无影响。该功能主要用于多个7段显示器同时显示时熄灭高位的零

§2.5 数码管

§2.5.1 七段数码管工作原理

在这个部分我们用七段数码管(LED)来显示结果,七段数码管有七个发光段,即a.b.c.d.e.f.g,根据设计要求的需要,我们使用了四个无小数点显示和两个有小数点显示的数码管。它们分别如图2-5-1和2-5-2。

图2-5-1 图2-5-2

数码显示与发光段之间的对应关系如下表2-5-1所示。

表2-5-1

§2.5.2 七段数码管内部结构介绍

七段数码管内部由发光二极管构成。在发光二极管两端加上适当的电压时,就会发光。

发光二极管有两种接法:即共阴极接法和共阳极接法,如下图2-5-3,2-5-4所示。

图2-5-3 图2-5-4

§2.5.3 显示器匹配电路图

本设计采用共阴数码管与74LS48匹配。其连接图如图2-5-5所示

图2-5-5

本课设显示部分电路图如下图2-5-6:

显示部分电路图如下图2-5-6

第三章系统综述、总体电路图

§3.1 总电路图

图3-1总电路图

J1控制数字秒表的启动和停止,J2控制数字秒表的清零复位。开始时把J1J2合上,由555多谐振荡器产生脉冲信号,运行本电路,数字秒表正在计数。

J1打开,脉冲不能给上面的计数电路,整个电路暂停计数,闭合J1,电路重新获得脉冲信号,开始计数,当J1开关闭合,把开关J2开关打开,那将给计数电路中的74LS160的清零信号,开始计数,当J1开关闭合,J2开关打开,那将给计数器清零,于是我们就用两个开关实现了整个电路的清零、启动、计时、暂停及继续计数等控制功能;

闭合J1.J2,电路处于计数状态,当给计数电路9(1001)个脉冲的时候,继续再给一个脉冲,就会产生进位,这样我们用输出BCD码的最高位来触发下一个计数器,这样给电路第十个脉冲以后,电路计数结果就会成“10”,继续给脉冲,到第99个时候,继续给一个脉冲,我们同样用第二个芯片的最高位来触发下一个芯片,也就是用最高位的下降沿来当做下一个芯片的脉冲。同理,当秒计数需向分计数进位的时候,我们都用最高位的变化来当做下一个芯片的CP信号,这样我们就完成了我们需要的计数。

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

单片机 10秒秒表课程设计

赣南师范学院物理与电子信息学院 课程设计Ⅳ设计报告书 基于AT89S52单片机的 10秒秒表的设计 姓名:匡远熹 班级:09电子信息工程 学号:090802015 指导老师:刘小燕 时间:2012.1.01

目录 内容摘要 (1) 关键词................................................................. 错误!未定义书签。Abstract............................................................. 错误!未定义书签。Keywords............................................................. 错误!未定义书签。1绪论. (2) 2 系统设计 (2) 2.1 设计任务与要求 (3) 2.2 方案的选择与论证 (3) 3 系统硬件设计 (4) 3.1 AT89C52简介 (4) 3.2 时钟电路 (5) 3.3 复位电路 (5) 3.4 显示电路 (6) 3.5引脚控制 7 3.6 硬件元件清单 (7) 4软件设计与仿真 (7) 4.1主程序设计 (7) 4.2 仿真软件简介 (9) 4.3 仿真结果 (10) 4.4 系统调试 (11) 结束语 (12) 附录:程序清单 (14) 参考文献 (16)

内容摘要:本文阐述了基于单片机的数字电子秒表设计。本设计主要特点是计时精度达到0.1s,是各种体育竞赛的必备设备之一。本设计的数字电子秒表系统采用8051单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、LED数码管设计计时器。其中软件系统采用C语言编写程序,包括显示程序,定时中断服务,延时程。硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 关键字:秒表;单片机AT89S52;硬件;软件;仿真 Abstract:The design of the multi-function stopwatch system uses AT89S52 microcontroller as the central device, and use its timer / counter timing and the count principles, combined with display circuit, LED digital tube, as well as the external interrupt circuit to design a timer. Be able to correctly time at the same time to record a time, and the next time after the last time the time to search automatically added a second in which software systems using assembly language programming, including the display program, timing, interrupt service, external interrupt service routine, delay procedures, key consumer shaking procedures, and KEIL in the commissioning, operation, hardware system uses to achieve PROTEUS powerful, simple and easy to observe the cut in the simulation can be observed on the actual working condition. Keyword:Stopwatch;AT89S52 scm;Hardware;Software;Simulation

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

简易数字秒表课程设计

《电子设计自动化》 课程设计报告 学校: 专业: 班级: 姓名: 指导教师: 二00九年12 月16 日

目录 1.课程名称 (2) 2.设计任务和要求 (2) 2.1设计任务 (2) 2.2设计要求 (2) 3.方法选择与论证 (2) 3.1方案选择 (2) 3.2方案论证 (2) 4.方案的原理图 (3) 4.1方案原理图 (4) 4.2总体电路图,布线图以及说明 (5) 4.3单元电路设计及说明 (5) 5.电路调试 (8) 6.收获体会、存在问题和进一步的改进意见 (9)

简易数字秒表 1.课程名称:《简易数字秒表》 2.设计任务和要求 2.1设计任务: 数字式秒表实现简单的计时与显示,按下启动键开始清零计时,按下停止键,计时停止。具有“ 分”(00—59)“秒”( 00—59)数字显示,分辨率为1 秒。计时范围从 00分 00 秒到 59 分 59 秒。 2.2设计要求: 阅读相关科技文献,上网搜索相关资料,设计多种方案设计,予以论证,最终选择最佳方案。 1、将提供的1024hz的方波源转换成1hz 的方波源。 2、秒表的范围为0-59分59秒。 3、最后用数码管显示。 3. 方法选择与论证 3.1.方案选择 在设计之初,我们有两个方案,都实现了59分59秒的结果,不过经过小组成员的讨论,一致选定采用方案二,该方案是在Proteus软件环境下实现的秒表计时功能,就制作上较方案一还是很不错的。 3.2. 方案论证 我们主要采用74LS90芯片和555计时器,74LS90 是二 -- 五十进制计数器,根据进制转换,很好的实现了六进制的功能,参考了各相关书籍及网上的一些资料,我们做好了现在的电路图,经过仿真,我们达到了预期的结果。

单片机简易秒表课程设计..

单片机课程设计 题目:简易秒表 系部:机电工程系 专业:机电一体化 班级: 0 9 4 班 姓名:张三 学号:2009044056 指导教师:杨富强

目录 一摘要 (1) 二绪论 (2) 2.1单片机的发展 (2) 2.2 MCS-51系列单片机介绍 (4) 三设计任务及要求 (5) 四工作原理 (5) 4.1 七段数码管概述 (5) 4.2 MCS--51的引脚及相关功能 (7) 五简易秒表电路图 (8) 六流程图 (9) 七源程序 (10) 八系统硬件设计 (11) 九总结 (12) 十课程设计心得 (13) 参考文献 (14)

一摘要 单片机自70年代问世以来得到蓬勃发展,目前单片机功能正日渐完善:单片机集成越来越多资源,内部存储资源日益丰富,用户不需要扩充资源就可以完成项目开发,不仅是开发简单,产品小巧美观,同时抗干扰能力加强,系统也更加稳定,使得它更加适合工业控制领域,具有更加广阔的市场前景;提供在线编程能力,加速了产品的开发进程,为企业产品上市赢得宝贵时间。此外单片机具有性能高、速度快、体积小、价格低、稳定可靠、应用广泛、通用性强等突出优点。单片机的设计目标主要是增强“控制”能力,满足实时控制的需要。 本文的主要内容是掌握各种单片机的结构、接口、片上外设的特点,并利用自行制作的单片机最小系统,完成一个简单应用(简易秒表)的设计与软件及硬件设计制作,让读者掌握数字单片机最小系统的设计及单片机系统的应用方法。

二绪论 当前社会信息化建设在各地蓬勃发展,作为信息发布的终端显示设备,LE D显示屏己经广泛应用于工作和生活的各个方面,主要用于显示文字、图像、动画等。L E D显示屏的应用涉及社会的许多领域,主要包括:金融证券、体育场馆、道路交通、邮政电信、商场购物中心等服务领域的业务宣传及信息显示。L ED 是发光二极管的简称(L ig ht Em it ti ng D io de)。由于它具有亮度高、响应速度快、低电压、功耗小、耐震动、寿命长等优点,使其成为室内外信息显示终端的主要发光器件。LE D显示屏是20世纪90年代出现的新型平板显示器件,由于其亮度高、画面清晰、色彩鲜艳,使它在公众多媒体显示领域一枝独秀,因此市场空间巨大。LE D显示屏的发展可分为以下几个阶段:第一阶段为1990年到1995年,主要是单色和16级双色图文屏。用于显示文字和简单图片,主要用在车站、金融证券、银行、邮局等公共场所,作为公共信息显示工具。第二阶段是1995年到1999年,出现了64级、256级灰度的双基色视频屏。视频控制技术、图像处理技术、光纤通信技术等的应用将LE D显示屏提升到了一个新的台阶。LE D显示屏控制专用大规模集成电路芯片也在此时由国内企业开发出来并得以应用。第三阶段从1999年开始,红、纯绿、纯蓝LE D大量涌入中国,同时国内企业进行了深入的研发工作,使用红、绿、蓝三原色LE D生产的全彩色显示屏被广泛应用,大量进入体育场馆、会展中心、广场等公共场所,从而将国内的大屏幕带入全彩时代。 2.1单片机的发展 单片机也被称为微控制器(M ic ro co nt r ol le r),是因为它最早被用在工业控制领域。单片机由芯片内仅有CP U的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CP U集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。IN TE L的Z80是最早按照这种思想设计出的处理器,从此以后,单片机和专用处理器的发展便分道扬镳。单片机又称单片微控制器,它不是完成某一个逻辑功能的

数字电子秒表课程设计报告

重庆机电职业技术学院课程设计说明书 设计名称:单片机原理设计 题目:数字电子秒表 学生姓名: X X 专业:电气自动化 班级: 1 班 学号: XXXXXXXXXXXXXXX 指导教师: X X X 日期: 2010 年 6 月 16 日

重庆机电职业技术学院 课程设计任务书 电气自动化专业2008 年级 1 班XX 一、设计题目 数字电子秒表设计 二、主要内容 利用独立式按键AN1(P0.0)启动定时器T0计时,AN2(P0.1)停止用于停止定 时器T0计时,使用2个八段数码管输出记时值,秒钟的计时时间范围在0~99秒内。 三、具体要求 3.1、实验电路连线 ①本实验中要把跳线JP1(板子右上角,LED灯正上方)跳到DIG上,J23(在黄色继电器右上方)接到右端;把跳线J9(紧贴51插座右方,蜂鸣器下方,RST复位键上方)跳到右端;把跳线J6跳到AN端,AN1(P0.0)~ AN4(P0.4),(J6在51插座右下方,4×4键盘左上方)。 3.2、实验说明 ①本实验中要将记时结果送2个数码管中显示,这可通过调用编写的显示子程序来实现,实现过程是:先将记时值一位一位的拆开,分别送到显示缓冲区(片内数据存储30H~35H设定为显示缓冲区用于存放段码数据, 其中32H~35H里面均存放0的段码0DFH)中去,然后调用显示子程序。②与定时器有关的寄存器有工作方式寄存器TMOD和控制寄存器TCON。TMOD用于设置定时器/计数器的工作方式0-3,并确定用于定时还是用于计数。TCON主要功能是为定时器在溢出时设定标志位,并控制定时器的运行或停止等。本实验中用定时器T0产生1秒钟基本时间单位,本系统fosc=11.0592MHz,当定时器T0工作在方式1(16位)时,最大定时时间为:216* 0.9216μs= 60397.9776μs;再利用软件记数,当T0中断17次时,所用时间为60397.9776*17=1026765.6192μs≈1s因此在T0中断处理程序中,要判断中断次数是否到17次,若不到17次,则只使中断次数加1,然后返回,若到了17次,则使电 子秒表记时值加1(十进制),请参考硬件实验四有关内容。③使用独立式按键 AN1(P0.0)~ AN2(P0.1)时要注意采用软件消抖动的方法,一般采用软件延时(10ms)的方法,即通过P0.0和P0.1的输入值的变化控制秒表的启动和停止。 3.3

数字式秒表课程设计

南通大学 《电子技术》课程设计报告 题目数字式秒表 学院(部计算机科学与技术学院 专业计算机科学与技术 学生姓名王骏 6 月2 7 日至7 月1 日共1 周 指导教师(签字)

一.内容摘要 本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。该数字计数 系统的逻辑结构较简单,是由控制电路,复位电路,0.01秒脉冲发生器,译码显示 电路构成的。 其中控制电路是由基本R-S触发器以及电阻,开关组成的电路部分。 复位电路是由直流电源,电阻以及开关组成的电路部分。 多谐振荡器是由555定时器以及其外围电路组成的电路部分,它和分频器一起用来 产生0.01秒的脉冲。 二.技术要求 1.秒表最大计时值为99分59.99秒; 2. 6位数码管显示,分辨率为0.01秒; 3 .具有清零,启动计时,暂停及继续计数等控制功能; 4.控制操作间不超过二个。 三.方案论证与选择 1. 数字式秒表,就需要显示数字。根据设计要求,要用数码管来做显示器。题目要 求最大记数值为99分59.99秒,则需要一个8段数码管作为秒位(有小数点)和五个7段数码管作为分秒位。要求计数分辨率为0.0 1秒,那么我们需要相应频率的信号发生器。 选择信号发生器时,有两种方案:一种是用晶体振荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。石英晶振荡器精度很高,一般都需要多级分频。 秒表核心部分——计数器,此次选择74LS160计数器。它具有同步置数和异步清零功能。主要是利用它可以十分频的功能。 计数脉冲是由555定时器构成的多谐振荡器,产生100赫兹脉冲。如果精度要求高,也可采用石英振荡器。 在选择译码器的时候,有多种选择,如74LS47,74LS48等4-7线译码器。如果选择7447,则用来驱动共阳极数码管;如果选择7448,则用来驱动共阴极数码管。在选择数码显示管时,可以利用六个数码管;也可以借鉴简易数字频率计中的四位数码 管来显示后四位,再用两个数码管显示分钟的两位。本次设计中选择前一种方法。(一)控制电路

电子秒表课程设计报告

西安郵電學院 控制系统课程设计报告书 系部名称:信息与控制系 学生姓名:XXX 专业名称:测控技术与仪器 班级:测控XXXX 2010年9月13日至 时间: 2010 年9月26日

电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、 设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H -33H 中。其中31H 存放分钟变量,32H 存放秒钟变量,33H 存放10ms 计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD 码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD 码数据的对应段码存放在ROM 表中。显示时,先取出31H -33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过1INT 中断完成,定时溢出中断周期为1ms ,当一处中断后向CPU

数电课设 数字秒表的设计仿真

##大学 ##学院 数字电子技术课程设计 课程名称:数字电子技术基础 题目名称:数字电子秒表设计 学生系别:信息工程系 专业班级: 学号: 学生姓名: 指导教师: ..年..月..日

目录 一、设计要求 (3) 二、题目分析 (3) 三、总体方案 (3) 四、具体实现 (4) 1、总体方框图 (4) 2、原理图 (4) 如下图所示: (4) 五、各部分定性说明及定量计算 (5) 1、脉冲发生器(由555构成的多谐振荡器) (5) 2、计数器(74LS90) (7) 3、七段发光二极管(LED)数码管 (8) 4、BCD码七段译码驱动器——CC4511 (9) 六、实验仿真 (11) 七、元器件清单 (11) 八、设计心得体会 (12) 九、参考文献 (12)

数字秒表的设计与仿真 一、设计要求 设计并仿真一个数显电子秒表,要求: (1)能直接显示“分”、“秒”的电子秒表; (2)要求最大能显示9ˊ59〞; (3)能通过按键启动计时,并能通过按键停止计时,并保留显示计时时间; (4)能通过按键复位。 主要器件: 74LS00、555、74LS90、CC4511 二、题目分析 数字秒表是是一种常用的秒计时装置,它能实现手控记秒、停摆、清零功能,它的设计原理就是利用数字逻辑中的知识。 通过对该数字秒表的设计要求的分析,设计的此数字秒表主要由分频器、译码器、十进制计数器、六十进制计数器、控制电路组成。在整体秒表中最关键的如何获得一个精确的100HZ计时脉冲。除此之外,数字秒表还需要有清零控制端以及启动控制端,保持,以便数字时钟能随意、停止及启动。分频器用来产生100HZ计时脉冲;十进制计数器:对分进行计数;六十进制计数器是用来对秒进行计时,显示译码器是完成对7段数码管显示的控制。 按计数要求,须用数码管来做显示器,题目要求最大能显示9ˊ59〞,需要三个数码管,超过最大显示的数字要重新从0开始计数。 复位开关用来使计时器清零,并做好清零准备,复位开关可以在任意情况下使用,即使在计数过程中,只要按一下复位开关,计时进程终止,并对计时器清零。 三、总体方案 数字秒表,必须有一个数字显示。按设计要求,须用数码管来做显示器,题目要求最大能显示9ˊ59〞,则需要三个数码管。计数分辨率为1s,需要相应的信号发生器,选择信号发生器有两种方案: Ⅰ用晶体振荡器; Ⅱ用集成电路555计时器与电阻电容组成的多谐振荡器。 两者都可以产生振荡频率,我们选用方案Ⅱ,因为其核心部分是使用三个74LS90计数器采用串联方式构成,并且这种连接方式简单,使用元器件数量少。

数字秒表课程设计报告

《电子技术》课程设计 题目:数字秒表设计 专业:电气工程系 班级:本电气自动化126 姓名:黎梓浩学号: 11 指导老师:钟立华 小组成员:曾志辉麦照文黎梓浩成绩:

目录 摘要,关键词,引言3一.设计目的3二.设计总体框图4三.设计原理及说明4四.单元电路设计5五.器件选择9六.设计电路图9七.安装与调试9八.设计心得与体会10 九.参考文献11

十.附录(实物图、原理图)12摘要:本文的数字秒表设计是利用数字电路,实现时、分、秒计时功能的装置。具有较长的使用寿命,因此得到了广泛的应用。 关键词:计时精确计数器显示器 74LS160 引言:在科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活中已逐渐崭露头角,大多数电子产品多是有计算机电路组成,如:手机、mp3等。而且将来的不久他们的身影将会频繁的出现在我们身边。各种家用电器多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。秒表在很多领域充当一个重要角色。在各种比赛中对秒表的精确度要求很高,尤其是一些科学实验,他们对时间精确度达到了几纳秒级别。 一.设计目的 1.了解数字秒表的主体电路的组成及工作原理; 2.熟悉集成电路及有关电子原件的使用; 3.学习和掌握数字电路中的时钟发生器及计数、译码显示等单元电

路的综合应用; 4.掌握电子电路一般设计方法和设计流程; 5.掌握protel等绘图软件的使用。 二.设计总体框图 三.设计原理及说明 数字秒表具有操作方便、使用简单、计数精准等使用优点,在日常生活中的到了广泛认可和使用。 数字秒表的设计属于中规模集成芯片设计。本设计中CP脉冲采

基于单片机的00秒-99秒的秒表设计

工程技术学院 课程设计 题目:用单片机AT89C51设计一个2位的LED数码显示作为“秒表”专业:电气工程及其自动化 年级: 2009级 学号: 20091447 20091414 20091444 姓名:付忠林梁宗林李座 指导教师:杨彦鑫 日期: 2012年12月12日 云南农业大学工程技术学院

目录 一、设计题目和要求: (2) 二、设计目的: (3) 三、设计内容: (4) 四、课程设计心得体会 (21) 五、参考文献 (22) 六、课程设计指导教师评审标准及成绩评定 (23) 附件1:秒表原理图(实际接线图) (24) 附件2:仿真图1 (25) 附件3:仿真图2 (26)

一、设计题目和要求: 题目三:秒表 应用AT89C51的定时器设计一个2位的LED数码显示作为“秒表”:显示时间为00~99s,每秒自动加1,设计一个“开始”键,按下“开始”键秒表开始计时。设计一个“复位”键,按下“复位”键后,秒表从0开始计时。 任务安排:李座负责绘制电路原理图;梁宗林负责收集资料及电子版整理;付忠林负责程序和仿真。

二、设计目的: 1.进一步掌握AT89C51单片机的结构和工作原理; 2.掌握单片机的接口技术及外围芯片的工作原理及控制方法; 3.进一步掌握单片机程序编写及程序调试过程,掌握模块化程序设计方法; 4.掌握PROTEUS仿真软件的使用方法; 5.掌握LED数码管原理及使用方法。 6.掌握定时器、外部中断的设置和编程原理。 7.通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。 8.该课程设计通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统,拥有正确的计时、暂停、清零、复位功能,并同时可以用数码管显示。

数字电子技术课程设计(数字秒表)

课程设计报告书 题目:数字秒表 系别:电子与信息工程分院 专业:电子信息与工程 作者:学号: 指导老师: 20 年月

目录 一、设计任务 (2) 二、设计框原理及整机概述 (2) 三、各单元电路的设计方案及原理说明 (2) 四、各单元电路的集成电路及使用说明 (3) 五、设计、安装及调试中的体会 (6) 六、对本次课程设计的意见及建议 (7) 附录 (8) 1

数字秒表 一、设计任务书 1.两个按钮,用1、2表示,在数字秒表计数时,开始,暂停和清零做用。 2.数码管显示计时时间。 3.微调电位器对秒表进行调试。 二、设计框图及整机概述 本电路是RS触发器,单稳态触发器,时针发生器及计时器,译码显示单元电路共同组合的应用。U1A,U1B构成基本RS触发器,其作用是启动和停止秒表工作,按动K2,U1A-3为高电平,U1B-6为低电平,计数停止。按动K1,计数清零并开始计数。 三、各单元电路的设计方案及原理说明 1、基本RS触发器 单元I为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。它的一路输出Q作为单稳太触发器的输入,另一跟路输出Q作为与非门5的输入控制信号。按动按钮开关K2(接地),则门1输 出=1;门2输出Q=0,K2复位后Q 、状态保持不变。再按动按钮开关K1; 则Q由0变为1,门5 开启,为计数器启动作为准备。由1变0,启动单稳态 触发器工作。基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。2、单稳态触发器 图单元II为用集成与非门构成的微分型单稳态触发器,图17-2为各点波形图。 单稳态触发器的输入触发脉冲信号V1由基本RS 触发器端提供,输出负 脉冲V0通过非门加到计数器的清除端R。静态时,门4应处于截止状态,故电阻R必须小于门的关门电阻ROFF。定时元件RC取值不同,输出脉冲宽度也不同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分电路的RP和CP。 单稳态触发器在电子秒表中的职能是为计数器提供清零信号。 3、时钟发生器 图中单元III为用555定时器构成的多谐振荡器,是一种性能较好的时钟源。 调节电位器RW,使在输出端3获得频率为100HZ的矩形波信号,当基本RS 触发器Q=1时,门5开启,此时50HZ脉冲信号通过门5作为计数脉冲加于计数器①的计数输入端CP2。 4、计数及译码显示 十进制加法计数器74LS160构成电子秒表的计数单元,如图中单元IV所示。 2

电子秒表课程设计..

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

数字秒表课程设计 最大为99小时59分59秒

电子技术课程设计报告 2013年12月

前言 数字式秒表是一种常用的计时工具,以其价格低廉、走时准确、使用方便、功能多而广泛用于体育比赛中,下文介绍了如何利用中小规模集成电路和半导体器件进行数字式秒表的设计。本设计中数字秒表的最大计时是99小时59分9/10秒,也就是说分辨率是0.1秒,最后计数结果用数码管显示,需要实现清零、启动计时、暂停计时、继续计时等功能。当计时停止的时候,由开关给出一个清零信号,使得所有显示管全部清零 在本次实验中由六片74LS160构成两个100进制计数器和一个60进制计数器来实现秒表的计数功能。由于需要比较稳定的信号,我们用555定时器与电阻和电容组成的多谐振荡器或石英晶体多谐振荡器产生100HZ的信号,用六个数码管显示计时,最后在电路中加入了两个控制开关一个控制电路的启动和暂停;另一个控制电路的清零。

目录 题目摘要关键词设计要求 (3) 第一章系统概述 (4) 第二章单元电路与分析 (5) 2.1 秒信号发生器 (5) 2.1.1 选择信号发生器方案 (5) 2.1.2石英晶体多谐振荡器 (7) 2.1.3方案对比与选择 (9) 2.1.4 555构成的多谐振荡器仿真图 (9) 2.2消抖电路及其原理 (10) 2.3分、秒、毫秒计数器电路设计 (10) 2.3.1选择计数器的方案 (10) 2.3.2 74LS160计数器功能的介绍 (11) 2.3.3计数器最终连线图 (12) 2.4译码器 (13) 2.4.1译码器的基本原理 (13) 2.4.2 74LS48显示译码器管脚图 (13) 2.4.374LS48功能介绍 (13) 2.5数码管 (15) 2.5.1七段数码管工作原理 (15) 2.5.2七段数码管内部结构介绍 (16) 2.5.3显示器匹配电路图 (17) 第三章系统综述 (18) 3.1总电路图 (18) 第四章结束语 (19) 4.1课程总结 (19) 4.2故障分析 (19) 参考文献 (20) 元件明细表 (20) 鸣谢 (21) 收获和体 (21) 评语 (23)

基于单片机的秒表课程设计

摘要 本设计的数字电子秒表系统采用AT89S52单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及外部中断电路来设计计时器。将软、硬件有机地结合起来,使得系统能够实现LED显示,显示时间为0~99秒,计时精度为1秒,能正确地进行计时。其中软件系统采用C语言编写程序,包括显示程序,定时中断服务,外部中断服务程序,延时程序等,并在WAVE中调试运行,硬件系统利用PROTEUS强大的功能来实现,简单且易于观察,在仿真中就可以观察到实际的工作状态。 关键词:电子秒表;AT89S52单片机;C语言

目录 摘要.............................................................. I 1 系统原理介绍. (1) 1.1设计任务及功能要求说明 (1) 1.2数字式秒表的方案介绍及工作原理说明 (1) 2数字式秒表硬件系统的设计 (3) 2.1数字式秒表硬件系统各模块功能简要介绍 (3) 2.1.1 AT89S52简介 (3) 2.1.2时钟电路 (3) 2.1.3键盘电路 (4) 2.1.4复位电路 (4) 2.2 数字式秒表的硬件系统设计图 (5) 3 数字式秒表软件系统的设计 (6) 3.1 数字式秒表使用单片机资源情况 (6) 3.2 主程序流程图 (6) 3.3中断服务程序流程图 (7) 3.4显示程序流程图 (8) 3.5软件系统程序清单 (8) 按照流程图应用软件keil汇编语言编程实现秒表功能。程序见附录3。. 8 4 系统调试与仿真 (9) 4.1 数字式秒表的设计结论及使用说明 (9) 4.2 调试软件介绍 (9) 4.3 程序仿真与结果 (9) 4.4 误差分析及解决方法 (10) 总结 (11) 参考文献 (12) 致谢 (13) 附录1:系统原理图 (14) 附录2:程序清单 (15)

数字式秒表课程设计

《数电设计》课程设计报告 题目数字式秒表 学院(部)理学院 专业电子信息科学与技术 学生姓名孟涛涛 学号2 前言 如今,信息正是一个高度发展的产业,而数字技术是信息的基础,数字技术是目前发展最快的技术领域之一,数字技术在数字集成电路集成度越来越高的情况下,开发数字系统的使用方法和用来实现这些方法的工具已经发生了变化,但大规模集成电路中的基本模块结构仍然需要基本单元电源电路的有关概念,因此用基本逻辑电路来组成大规模或中规模地方法仍然需要我们掌握。 二进制数及二进制代码是数字系统中信息的主要表示形式,与,或,非三种基本逻辑运算是逻辑代数的基础,相应的逻辑门成为数字

电路中最基本的元件。数字电路的输入,输出信号为离散数字信号,电路中电子元器件工作在开关状态。除此之外,由与,或,非门构成的组合逻辑功能器件编码器,译码器,数字分配器,数字选择器,加法器,比较器以及触发器是常用的器件。 与模拟技术相比,数字技术具有很多优点,这也是数字技术取代模拟技术被广泛使用的原因。 此次课设更是加深了我们对数字技术的理解和认识。 目录 一.前言 二.内容摘要 三.关键字 四.技术要求 五.方案论证与选择 1.方案论证 2.总框图 (一)控制电路 (二)0.01秒脉冲发生器 (三)复位电路 (四)译码显示电路 1.计数器74LS160 2.译码器7447 3.七段数码管(LED)

六.电路图及电路工作原理 元件清单 七.课设存在的问题及解决 八.心得体会 九.参考文献 二.内容摘要 本设计所实现的数字式秒表是电子设计技术中最基本的设计 实验之一。该数字计数系统的逻辑结构较简单,是由控制电 路,复位电路,0.01秒脉冲发生器,译码显示电路构成的。 其中控制电路是由基本R-S触发器以及电阻,开关组成的电 路部分。 复位电路是由直流电源,电阻以及开关组成的电路部分。 多谐振荡器是由555定时器以及其外围电路组成的电路部分, 它和分频器一起用来产生0.01秒的脉冲。 三. 关键字 计数器,译码器,显示器,555定时器构成的多谐振荡器,基 本R-S触发器 四.技术要求 1.秒表最大计时值为99分59.99秒; 2. 6位数码管显示,分辨率为0.01秒; 3 .具有清零,启动计时,暂停及继续计数等控制功能; 4.控制操作间不超过二个。

数字式秒表课程设计报告

2012 ~2013学年第 2 学期 《数字电子技术》 课程设计报告 题目:数字式秒表 专业:通信工程 班级:11级通信二班 姓名:涛、、文凯、芳琪 王然、程洋洋、王国文、灿指导教师:王银花 电气工程学院 2013年6月04日

1、任务书

摘要 关键词译码显示电路;R-S触发器;555定时器分频器 在科技高度发展的今天,数字秒表在日常生活中是比较常见的电子产品,以其走时精确,使用方便,功用多而受广大用户所喜。 本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。该数字计数系统的逻辑结构较简单,是由控制电路,复位电路,0.01秒脉冲发生器,译码显示电路构成的。其中控制电路是由基本R-S触发器以及电阻,开关组成的电路部分;复位电路是由机械开关,电阻,以及电源组成的电路部分;多谐振荡器是由555定时器以及其外围电路组成的电路分,它和分频器一起用来产生0.01秒的脉冲;译码显示电路由7448集成元件构成的电路部分;七段数码管电路由共阴极七段LED显示器,电阻和接地端组成的电路部分。 通过对各部分结构的了解,本实验从而设计出最大是为59.99秒的数字式秒表。通过对实验了解到计数秒表的设计存在一些问题,但是这也充分说明了数字秒表还存在很大的提升空间,对计数精度可以进一步提高。在设计实验中为了保证实验过程少走弯路,学会仿真是必要的,对本实验我们采用multism软件仿真,以便提高实验的正确性与可行性。 在平时的理论学习中遇到的问题都一一解决,加深了我对专业的了解,培养了我对学习的兴趣,为以后的学习打下了好的开端,我受益匪浅。同时,让我明白:电子设计容不得纸上谈兵,只有自己动手实际操作才会有深刻理解,才会有收获。

相关文档
相关文档 最新文档