文档库 最新最全的文档下载
当前位置:文档库 › 电子密码锁,数电课程设计

电子密码锁,数电课程设计

电子密码锁,数电课程设计
电子密码锁,数电课程设计

扬州大学能源与动力工程学院数电课程设计

题目:电子密码锁

课程:数字电子技术基础

专业:电气工程及其自动化

班级:电气1002

学号:101601202

姓名:蔡志强

指导教师:郑洁

完成日期: 2012年5月30 日

总目录

一、摘要

二、课程设计背景

三、课程设计任务书

四、课程设计总述

摘要

电子电路设计常用的方法是实验设计法,一般都包括设计法案提出、方案验证、方案修改3个阶段。传统的实验设计法通常采用手工接实验电路来完成,往往需要经过试验和修改的反复过程,直到设计出正确的电路。随着电子和计算机技术的发展,生产了在计算机平台上的EDA(电子设计自动化)技术,这种技术除了具有强大的设计功能外,还具有测试、仿真分析、管理等功能。在“EDA桌面设计环境”下用计算机来完成电路的系统综合设计和仿真。用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁,优于其他设计方法。VHDL是一种符合IEEE标准的硬件描述语言,其最大的特点是借鉴高级程序设计语言的功能特性,对电路的行为与结构进行高度抽象化、规范化的形式描述,并对设计的不同层次、不领域的模拟验证与综合优化等处理,使设计过程廷到高度自动化。

课题设计背景

电子设计自动化(EDA)是一种实现电子系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,是20世纪90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的[2]。EDA技术就是以计算机为工具,在EDA软件平台上,根据硬件描述语言HDL完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局线、仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下和应用相应的FPG刀CPLD器件,就可以得到最后的设计结果。尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。当然,这里的所谓EDA主要是指数字系统的自动化设计,因为这一领域的软硬件方面的技术已比较成熟,应用的普及程度也已比较大。而模拟电子系统的EDA正在进入实用,其初期的EDA工具不一定需要硬件描述语言。此外,从应用的广度和深度来说,由于电子信息领域的全面数字化,基于EDA的数字系统的设计技术具有更大的应用市场和更紧迫的需求性。

硬件描述语言(HDL—Hardware Description Language)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传

统的门级描述方式相比,它更适合大规模系统的设计。例如一个32位的加法器,利用图形输入软件需要输入500至1000个门,而利用VHDL语言只需要书写一行A=B+C即可,而且VHDL语言可读性强,易于修改和发现错误。早期的硬件描述语言,如ABEL–HDL、AHDL,由不同的EDA厂商开发,互不兼容,而且不支持多层次设计,层次间翻译工作要由人工完成。为了克服以上不足,1985年美国国防部正式推出了VHDL(Very High Speed IC Hardware Description Language)语言,1987年IEEE采纳VHDL为硬件描述语言标准(IEEE STD-1076)。

课题设计任务书

一、课程设计的目的

本课程是在学完《数字电子技术基础》、《数字电子技术实验》之后,集中一周时间,进行的复杂程度较高、综合性较强的设计课题的实做训练。主要包括:方案论证、系统电路分析、单元功能电路设计、元器件选择、安装调试、计算机辅助设计、系统综合调试与总结等。使学生在《数字电子技术》基本知识、实践能力和综合素质、创新意识、水平诸方面得到全面提高,为后续课程的学习,为培养应用型工程技术人才打下重要基础。通过本课程设计可培养和提高学生的科研素质、工程意识和创新精神。真正实现了理论和实际动手能力相结合的教学改革要求。

二、课程设计的要求

1、加强对电子技术电路的理解,学会查寻资料、方案比较,以及设计计算等环节,进一步提高分析解决实际问题的能力。

2、独立开展电路实验,锻炼分析、解决电子电路问题的实际本领,真正实现由知识向技能的转化。

3、独立书写课程设计报告,报告应能正确反映设计思路和原理,反映安装、调试中解决各种问题。

三、设计题目及内容

1、数字式电子秒表

(1)、计时范围:0~60秒,精度为0.01秒;

(2)、具有清零、启动计时和停止计时等控制功能;

(3)、控制操作按键不得超过一个。

2、汽车尾灯控制电路

(1)、汽车左右共有三个尾灯,共计六个,用二个控制开关控制;

(2)、汽车正常运行时,六灯全亮;汽车右转时,右边三个尾灯自左向右巡回点亮;

汽车左转时,左边三个尾灯自右向左巡回点亮;汽车停车时,六个尾灯同时闪烁。

(3)、汽车在正常运行、左转、右转和停车时,对应显示“”、“R”、“L”、及“P”。

(4)、左转、右转和停车时,应该有“滴滴”提示音。

3、智力竞赛抢答器

(1)、抢答器为四路;

(2)、显示出最先抢答器的号码;

(3)、带裁判员启动控制按钮;

(4)、裁判员启动后,30秒后无选手抢答,抢答器停止工作(应显示30秒递减时间),并有“滴滴”提示音。

(5)、选手抢答应有提示音。

4、数字式电网周波表

(1)、数字显示电网的周波数,精度为0.1HZ;

(2)、显示读数每隔2~3秒刷新一次;

(3)、电网频率变化范围51HZ~49HZ。

5、数字时钟电路

(1)、具有“时”“分”的数字显示时钟;

(2)、“秒”不作数字显示,只使“时”和“分”之间“:”间隔闪亮;

(3)、具有校分和校时功能(同时数码管闪烁);

(4)、具有整点报时功能(59分50秒开始间歇报时);

(5)、整点报时的同时LED灯花样显示(花样自定);

(6)、控制操作按键不得超过一个。。

6、800m田径赛第一名计时电路

(1)、800m跑第一名计时数显器,计时起始与起跑令同步,到达终点计时结束,数字显示跑步的实践显示至0.1秒;

(2)、计时准确度:精度≤0.2%。

(3)、赛跑人中途经过检测装置时,不应起计时控制作用,仅在第一名到达800m终点时,控制计时结束。

7、数字频率计

(1)、被测信号频率范围1~100KHZ;幅度为TTL电平,用三位数码管显示数据;

(2)、具有测量和自校两种功能;

(3)、测量误差小于5%。

8、电子密码锁

(1)密码输入:每按下一个数字键,就输入一个数值,并在显示器上显示出该数值,

同时将先前输入的数据一次左移一个数字位置。

(2)密码清除:按下清除键“*”可清除前面所有的输入数值,清除成为“0000”。

(3)密码解除:按下解除键“#”后,检查输入的4位密码和已设置的密码相同时,即开锁,同时将显示器清除成为“0000”,若输入的4位密码不正确则报警。

9、可预置定时显示报警系统

(1)、设计一个篮球比赛中,队员持球时间不得超过30秒,到时报警的系统;

(2)、预置30秒,减到0秒报警;每隔5秒显示一次时间(30S、25S、20S、15S、10S、5S、0S时显示);

(3)、系统能准确地预置和清零。

10、彩灯控制系统

(1)、控制灯具组数为8组;

(2)、控制灯具花色方案8种(自定);

(3)、灯具扫描速度按频率为1HZ、2HZ、4HZ、8HZ可调,每完成一次大循环可自动也可手动改变扫描速度,任意时刻手动改变扫描速度;

(4)、应有五种工作模式的数码显示。

(5)、要求控制端不超过一个。

11、多波形信号发生器

(1)、要求产生正弦波、方波、锯齿波、阶梯波等6种波形;

(2)、用一个输出端口实现对6种波形可选择地输出;

(3)、输出波形的频率范围200HZ—2KHZ、幅度范围1—5V;

(4)、D/A转换器用DAC0832。

四、设计要求

1、用中小型规模集成电路设计出所要求的电路;

2、在实验箱上安装、调试出所设计的电路。

3、部分课题要求用可编程逻辑器件(FPGA/CPLD)设计实现;

4、在EDA编程实验系统上完成硬件系统的功能仿真。

5、写出设计、调试、总结报告。

五、器件与器材

1、二输入四与非门74LS00

2、四输入双与非门74LS20

3、六倒相器74LS04

4、八输入与非门74LS30

5、正沿双D触发器74LS74

6、3线-8线译码器74LS138

7、可逆移位寄存器74LS194

8、同步十进制可逆加、减计数器(8421 BCD码) 74LS192

9、同步十进制加法计数器74LS160

10、振荡分频器CD4060

11、BCD七段显示译码器74LS48

12、双四选一数据选择器74LS153

13、八线驱动器74LS244

14、555定时器555

15、LED共阴七段数码管BS207

16、石英晶体(4M、2 M、32768 HZ)

17、微动开关、拨盘开关、继电器、LED(红、绿、蓝)、电阻、电容、二极管、三极管、光敏二、三极管、导线……等。

六、参考文献

1、“模拟电子技术基础”和“数字电子技术基础”教材;

2、有关“电子技术课程设计指导书”;

3、“集成电路特性应用手册”;

4、EDA技术使用教程

5、其他。

七、设计总结报告主要内容

1、任务及要求;

2、方案特点;

3、各组成部分及工作原理(应结合框图写);

4、单元电路设计与调试;

5、总逻辑图;

6、总装配图;

7、实验仿真结果;

8、实验结果分析(画出必要的波形,进行测量精度和误差分析);

9、调试中出现问题的解决;

10、改进意见及收获体会等。

系统设计总述

电子密码锁,主要由三个部分组成:数字密码输入电路、密码锁控制电路和密码锁显示电路。作为电子密码锁的输入电路,可供选择的方案有数字机械式键盘和触摸式数字键盘等多种。

一:组成部分:

该系统可以分成三部分来设计,数字密码输入部分、密码锁控制电路和密码锁显示电路。作为密码锁的输入部分,本设计采用通用数字机械键盘。

1--密码锁输入电路:包括时序产生电路、键盘扫描电路、键盘译码电路等几个小的功能电路。

2--密码锁控制电路包括按键数据的缓冲存储电路发生电路),密码核对(数值比较,解锁电路(开/关门锁电路)等几个小的功能电路。

3--七段数码管显示电路将待显示数据的BCD码转换成数码器的七段显示驱动编码

二:主要功能:

(1)密码输入:每按下一个数字键,就输入一个数值,并在显示器上显示出该数值,

同时将先前输入的数据一次左移一个数字位置。

(本次采用机械式3x4键盘,虽然易产生抖动、磨损等问题,但具有电路结构简单、成本低、可靠性高、技术成熟、应用广泛等优点,在密码锁的设计过程中经常被采用。其中数字0~9作为密码数字输入按键,*作为“上锁”功能按键,#作为“解锁/清除”功能按键。)

(2)密码清除:按下清除键“*”可清除前面所有的输入数值,清除成为“0000”。

(3)密码解除:按下解除键“#”后,检查输入的4位密码和已设置的密码相同时,即开锁,同时将显示器清除成为“0000”,若输入的4位密码不正确则报警。

三、CPLD器件EPM7128简介

EPM7128是可编程的大规模逻辑器件,为ALTERA公司的MAX7000系列产品,具有高阻抗、电可擦等特点,可用门单元为2500个,管脚间最大延迟为5ns,工作电压为+5V。

1、基本参数

可编程逻辑类型:PLD

逻辑芯片功能:Programmable ISP

逻辑芯片基本号:7128

输入/输出线数:84

宏单元数:128

频率:95.2MHz

输入/输出接口标准:TTL, CMOS

电源电压最小:3V

电源电压最大:3.6V

封装类型:TQFP

工作温度范围:0°C to +85°C

SVHC(高度关注物质):Cobalt dichloride

IC标号:7128

传播延迟时间:10ns

器件标号:7128

封装类型:剥式

电源电压:3.3V

表面安装器件:表面安装

输入数:84

逻辑功能号:7128

针脚数:100

门电路数:2500

2、可编程器件开发方法

通过以下步骤进行开发:

第一步,进行逻辑抽象。

第二步,选定PLD的类型和型号。

第三步,选定开发系统。

第四步,以开发系统软件能接受的逻辑功能描述方式(例如逻辑图,硬件描述语言,波

形图等)编写计算机输入文件。

第五步,上机运行。

第六步,下载。

第七步,测试。

四、各模块程序设计

1、电子密码锁输入电路的设计

【1】电子密码锁由键盘扫描电路、键盘译码电路、按键数据缓存器,加上外接的一个3

×4矩阵式键盘组成。

经提升电阻至VC C

【2】密码锁输入模块的VHDL语言总描述:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY SR IS

PORT ( CLK_1K: IN STD_LOGIC;

KEY_IN: IN STD_LOGIC_VECTOR ( 2 DOWNTO 0);

DATA_N: OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0);

DATA_F: OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0);

FLAG_N: OUT STD_LOGIC;

FLAG_F: OUT STD_LOGIC;

CQD: OUT STD_LOGIC;

KSEL: OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0)

);

END SR;

ARCHITECTURE ART OF SR IS

SIGNAL C_QD: STD_LOGIC;

SIGNAL C_SR: STD_LOGIC_VECTOR ( 1 DOWNTO 0);

SIGNAL N,F: STD_LOGIC_VECTOR ( 3 DOWNTO 0);

SIGNAL FN,FF: STD_LOGIC;

SIGNAL SEL: STD_LOGIC_VECTOR ( 3 DOWNTO 0);

SIGNAL Q: STD_LOGIC_VECTOR ( 5 DOWNTO 0);

SIGNAL C: STD_LOGIC_VECTOR ( 2 DOWNTO 0);

BEGIN

DATA_N <= N;

DATA_F <= F;

FLAG_N <= FN;

FLAG_F <= FF;

CQD <= C_QD;

KSEL<= SEL;

C(0)<= KEY_IN(0);

C(1)<= KEY_IN(1);

C(2)<= KEY_IN(2);

COUNTER : BLOCK IS

BEGIN

PROCESS (CLK_1K) IS

BEGIN

IF(CLK_1K'EVENT AND CLK_1K='1')THEN

Q <= Q+1;

END IF;

C_QD <= Q(3);

C_SR <= Q(5 DOWNTO 4);

END PROCESS;

SEL <= "1110"WHEN C_SR = 0 ELSE

"1101"WHEN C_SR = 1 ELSE

"1011"WHEN C_SR = 2 ELSE

"0111"WHEN C_SR = 3 ELSE

"1111";

END BLOCK COUNTER;

KEY_DECODER : BLOCK

SIGNAL Z : STD_LOGIC_VECTOR ( 4 DOWNTO 0);

BEGIN

PROCESS(C_QD)

BEGIN

Z <= C_SR & C;

IF(C_QD'EVENT AND C_QD = '1')THEN

CASE Z IS

WHEN "11101" => N <= "0000";--0

WHEN "00110" => N <= "0001";--1

WHEN "00101" => N <= "0010";--2

WHEN "00011" => N <= "0011";--3

WHEN "01110" => N <= "0100";--4

WHEN "01101" => N <= "0101";--5

WHEN "01011" => N <= "0110";--6

WHEN "10110" => N <= "0111";--7

WHEN "10101" => N <= "1000";--8

WHEN "10011" => N <= "1001";--9

WHEN OTHERS => N <= "1111";

END CASE;

END IF;

IF C_QD'EVENT AND C_QD ='1' THEN

CASE Z IS

WHEN "11110" => F <="0100";

WHEN "11011" => F <="0001";

WHEN OTHERS => F <="1000";

END CASE;

END IF;

END PROCESS;

FN <= NOT ( N(3) AND N(2) AND N(1) AND N(0));

FF <= F(2) OR F(0);

END BLOCK KEY_DECODER;

END ARCHITECTURE ART;

【3】密码锁输入模块单元及仿真图:

2、电子密码锁控制电路的设计

【1】密码锁的控制电路是整个电路的控制中心,主要完成对数字按键输入和功能按键输入的响应控制。

【2】密码锁控制电路的总VHDL语言描述:

library ieee;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CTRL1 IS

PORT ( DATA_N: IN STD_LOGIC_VECTOR ( 3 DOWNTO 0);

DA TA_F: IN STD_LOGIC_VECTOR ( 3 DOWNTO 0);

FLAG_N: IN STD_LOGIC;

FLAG_F: IN STD_LOGIC;

CLK: IN STD_LOGIC;

ENLOCK: OUT STD_LOGIC;

BJ:OUT STD_LOGIC;

DA TA_BCD: OUT STD_LOGIC_VECTOR ( 15 DOWNTO 0)); END CTRL1;

ARCHITECTURE ART OF CTRL1 IS

SIGNAL ACC:STD_LOGIC_VECTOR (15 DOWNTO 0);

SIGNAL JS:STD_LOGIC_VECTOR (3 DOWNTO 0) ;

SIGNAL R0,CLR:STD_LOGIC;

BEGIN

PROCESS(Clk,FLAG_F) IS

BEGIN

IF CLK'EVENT AND CLK= '1' THEN

R0<=FLAG_F;

CLR<=R0 AND NOT FLAG_F;

END IF;

END PROCESS;

PROCESS(ClK,FLAG_F,FLAG_N) IS

BEGIN

IF (CLR='1') THEN

ACC<="0000000000000000";

JS<="0000";

ELSIF ClK'EVENT AND ClK= '0' THEN

IF FLAG_F='1' THEN

IF(DATA_F = "0100")THEN

BJ<='0';ENLOCK<= '1';

ELSIF(DATA_F = "0001")THEN

IF (ACC ="0110011001100110")THEN

ENLOCK<= '0';BJ<='0';

ELSE ENLOCK<= '1';BJ<='1';

END IF;

END IF;

ELSIF FLAG_N='1' THEN

IF(JS<4)THEN

ACC<=ACC(11 DOWNTO 0)&DATA_N;JS<=JS+1;

END IF;

END IF;

END IF;

END PROCESS;

DA TA_BCD<= ACC;

END ARCHITECTURE ART;

【3】控制模块单元及仿真图:

3、显示模块程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY YM IS

PORT(DATA_BCD:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

DOUT7:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END YM;

ARCHITECTURE ART OF YM IS

BEGIN

PROCESS(DATA_BCD)

BEGIN

CASE DATA_BCD IS

WHEN"0000"=>DOUT7<="0111111";

WHEN"0001"=>DOUT7<="0000110";

WHEN"0010"=>DOUT7<="1011011";

WHEN"0011"=>DOUT7<="1001111";

WHEN"0100"=>DOUT7<="1100110";

WHEN"0101"=>DOUT7<="1101101";

WHEN"0110"=>DOUT7<="1111101";

WHEN"0111"=>DOUT7<="0000111";

WHEN"1000"=>DOUT7<="1111111";

WHEN"1001"=>DOUT7<="1101111";

WHEN OTHERS=>DOUT7<="0000000";

END CASE;

END PROCESS;

END ARCHITECTURE ART;

4、系统总体电路

补充说明:

CLK:1kHZ时钟信号

KEY[2..0]:列扫描信号

KSEL[3..0]:行扫描信号

ENLOCK:上锁信号(1表示上锁,0表示开锁)

BJ:报警信号(1表示报警,0表示不报警)

DA TA_BCD[15..0]:四个数字密码(每个数字密码由四位代码表示)

SR:输入模块

CTRL1:控制模块

YM:显示模块

5、出现的问题及解决方法

由于本设计中采用的矩阵式键盘是机械开关结构,因此在开关切换的瞬间会在接触点出现信号来回弹跳的现象,对于电子密码锁这种灵敏度较高的电路这种弹跳将很可能会造成误动作输入,从而影响到密码锁操作的正确性。

虽然只是按下按键一次然后放掉,然而实际产生的按键信号却不止跳动一次,经过取样信号的检查后,将会造成误判断,以为键盘按了两次甚至更多次。

我们所采用的的方法是将密码输入电路和密码控制电路的时钟信号改成100hz,而不是以前的1khz。短时间按键后不会造成误判断,但长时间按键还是会发生一样的情况,但是不明显了。

6\改进意见:

加上去抖电路模块

键盘输入去抖电路的VHDL源程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY DEBOUNCE IS

PORT(CLK,KEY: IN STD_LOGIC;

KEYIN: OUT STD_LOGIC);

END ENTITY DEBOUNCE ;

ARCHITECTURE ART OF DEBOUNCE IS

BEGIN

PROCESS (CLK,KEY)

V ARIABLE Q: STD_LOGIC_VECTOR ( 1 DOWNTO 0); BEGIN

IF KEY='1' THEN Q:=(OTHERS=>'0');

KEYIN<='1';

ELSIF CLK'EVENT AND CLK='1'

THEN

IF Q<3 THEN Q:=Q+1;

ELSE Q:=(OTHERS=>'0');

END IF;

END IF ;

IF Q="11" THEN KEYIN<='1';

END IF ;

END PROCESS ;

END ARCHITECTURE ART;

改进后总电路图:

7、收获及体会

本次数字电子课程设计我们感触很多,既获得了很多新的知识,查阅了很多很多书籍请教老师同学,更加透彻的学习了VHDL语言,又培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。时间虽然很短,可是却要求我们将理论投入到实践中来,细心耐心专心。中途我也无数次的想过放弃,但是一想到自己当初选择这个课题的壮志雄心我就没有这个脸面再想下去,我要对我自己的选择负责,对我们的团队负责,这不是一个人的任务,是我们整组人的共同目标。尽管遇到了很多困难,但这也是一次宝贵的人生体验,可以加强学习的能力,我为我们的团队为我自己骄傲,因为我们的坚持不懈。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。

基于51单片机的无线电子密码锁课程设计[优质文档]

单片机课程设计报告书 基于89C51单片机 课题名称 无线电子密码锁的设计姓名刘武 学号131220330 学院通信与电子工程学院 专业电子信息工程 指导教师祝秋香讲师 2015年12月20日

基于89C51单片机无线电子密码锁的设计 1 设计目的 (1)了解MCS-51单片机使用方法和熟悉蓝牙模块; (2)掌握AT89C51芯片的使用方法及蓝牙模块的连接与使用; (3)熟悉单片机程序仿真软件Proteus的使用; (4)了解MCS-51单片机开发板工作原理以及芯片的组合; (5)熟悉无线电子密码锁的设计及实现。 2设计思路 2.1 选择设计电路 设计51单片机与蓝牙连接的电路。 2.2 所选设计电路的原因 (1)由于无线电子密码锁的设计需要用到蓝牙模块,用到的单片机资源并不是很多,而51单片机的资源有8位CPU、4KB的ROM、128B的RAM、2个16位定时/计数器、4组8位的I/O、1个串口和5个中断源,可以更有效率的利用到51单片机的资源。 (2)可现实无线功能的模块有:蓝牙模块、无线模块、红外遥控模块,因为相对之下,蓝牙模块更容易实现,更容易操作,最后选择蓝牙模块实现手机远程控制。 (3)为了提高效率,可以利用51单片机开发板的硬件,用LED灯模拟密码锁的开关,当LED灯亮起时代表锁已经开启,熄灭则代表密码锁被关闭。应用在实际中可用继电器替代LED的亮灭去控制强电开关。 3 设计过程 3.1 设计总框图 无线电子密码锁分为两个主要功能模块:51单片机模块,蓝牙实现模块。这两个模块共同工作完成本电路的功能实现。其中利用AT89C51芯片来实现51单片机模块功能,完成数码管、发光二极管的实现,同时利用HC06蓝牙模块来

数字电路密码锁课程设计

“数字电子技术”课程设计 实验报告 姓名: 指导老师: 班级:13电子卓越班 学号:2013****01121 时间:2014·12·05 东莞理工学院 电子工程学院 密码锁

目录 一、选题意义 (3) 二、方案论证选择 (4) 2.1 设计要求 (4) 2.2 拓展要求 (4) 2.3 系统框图 (4) 2.4 设计过程 (5) 三、电路设计 (5) 3.1 所需芯片及芯片管脚图 (5) 3.2 CD4017构成的主题电路 (6) 3.2确认键的电路设计 (6) 3.3输入密码三次锁死系统原理分 (7) 3.4用led显示当前输入密码个数 (8) 3.5 综合电路 (8) 四、电路调试及实物照片 (9) 五、心得体会 (13)

一.选题意义 1概述 电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。应用较广的电子密码锁是以芯片为核心,通过编程来实现的。 2性能特点 其性能和安全性已大大超过了机械锁,特点如下: 1.保密性好,编码量多,远远大于弹子锁。随机开锁成功率几乎为零。 2.密码可变。用户可以经常更改密码,防止密码被盗,同时也可以避免因人员的更替而使锁的密级下降。 3.误码输入保护。当输入密码多次错误时,报警系统自动启动。 4. 电子密码锁操作简单易行,一学即会。 5.干扰码功能在输入正确密码前可输入任意码。 6.安保功能 如果连续输错4次密码将会自动断电3分钟。 7.紧急开启功能(Panic Open) 出门时无需其他操作,只需一次的把手动作,可机械的开启门,所以遇到火灾等应急状况下也迅速,安全的开启门。 8.入侵感应功能 在门上锁的状态下,有人破锁而入时,会发出强力的报警音。 9.火灾报警功能 在室内如果温度达到75°左右,将会发出强力的报警音,同时锁会自动开启。 10.双重锁定功能 外部强制锁定:在内部不能开启,适用于外出时,防止有人入侵。 内部强制锁定:在外部不能开启,让您在家时更安心、安全。 11.弱电提醒当电量不足时,在启动开门时,会有美妙的音乐提示您及时更换电池。 12.自动上锁功能 采用全自动锁芯,门关后6秒内自动上锁,外出更加安全。 本次我们设计的密码锁仅为逻辑电路部分,不涉及上文所述的具体的机械设备以及其他周边电路!

密码锁课程设计报告

江苏师范大学科文学院本科生课程设计 课程名称:数字电子技术基础 题目:数字密码锁 专业班级: 14电信2班 学生姓名:王坤 学生学号: 148326228 日期: 2016年6月25日 指导教师:魏明生

指导教师签字: 年月日

目录 摘要...................................................................................................II Abstract................................................................................................ II 1 绪论.. (1) 1.1 背景 (1) 1.2 目的 (1) 2 设计方案简述 (1) 2.1 设计任务 (1) 2.2 设计原件需求 (2) 3 详细设计 (2) 3.1 设计原理图 (2) 3.2 密码验证模块 (3) 3.3 计时模块 (4) 3.4 锁定输出 (5) 3.5 逻辑组合 (6) 4 设计结果及分析 (7) 4.1 总电路图 (7) 4.2 问题解决与分析 (7) 4.3 测试数据 (9) 5总结 (10) 参考文献 (11)

摘要 在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。在安全技术防范领域,具有防盗报警功能的电子密码锁逐渐代替了传统的机械式密码锁,电子密码锁具有安全性高、成本低、功耗低、易操作等优点,受到广大用户的欢迎。本次课程设计简述数字密码锁的设计、制作过程,用74LS138为3线-8线译码器、555为计时模块、D触发器74LS175为锁定输出、74LS00为逻辑组合模块构成该数字密码锁的设计思想和实现过程。该课程设计可以预先设定密码和修改密码等方便操作处理,通过发光二级管颜色的转变辨别密码的正确和密码锁的开启。通过该课程设计,主要解决与我们平常生活关系密切的密码锁的问题,且制作该设计所需要元器件的成本较低,适合学生对数字电路的实践所用。 关键词:数字密码锁;74LS138;555;74LS175;74LS00 Abstract In daily life and work, security, residential units and departments of the documents, financial statements, and some personal information in order to save more than locking solutions. If the use of traditional mechanical key to unlock, people often need to carry multiple keys, a very inconvenient to use, and after the loss of key security that is greatly reduced. In the field of security and protection, anti-theft alarm function electronic combination lock gradually replace the traditional mechanical combination lock, electronic lock has the advantages of high safety, low cost, low power consumption, easy to operate, welcomed by the majority of users. The curriculum design of digital code lock design, process, using 74LS138 for 3 -8 decoder, 555 for the timing module, D triggers 74LS175 lock output, 74LS00 logic combination module design idea of the digital password lock and implementation process. The curriculum design can be pre-set password and modify passwords and other convenient operation, opening by discerning the password change light emitting two tubes of color and correct password lock. Through the curriculum design, mainly to solve the daily life with our close locks, and making the design required components with low cost, suitable for students to use the practice of digital circuit. Key words: the digital combination lock; 74 ls138; 555; 74 ls175; 74 ls00

密码锁课程设计

课程设计报告 课程设计题目:4位串行数字密码锁 学号 学生姓名:谢渊良 专业:通信工程 班级:1421302 指导教师:钟凯 2017年1月5日 1.摘要 随着科技的发展数字电路的各种产品广泛应用,传统的机械锁由于其构造的简单,安全性不高,电子密码锁其保密性高,使用灵活性好,安全系数高,使用方便,将会是未来使用的趋势。本设计使用EDA设计使设计过程廷到高度自动化,其具有强大的设计功能、测试、仿真分析、管理等功能。使用EDA环境完成电路的系统综合设计和仿真。用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁。本设计基于Verilog HDL 语言来设计密码锁,先介绍设计要求和整体设计思想,随后对所使用各模块分别为键盘模块、连接模块、控制模块进行了介绍,给出各个模块的主要代码,在对各个模块的功能进行仿真。 关键字:密码锁 Verilog HDL 2.设计内容 设计一个4位数字密码锁子系统 1)1.2设计要求开锁密码为4位二进制,当输入密码与锁内给定的密码一致时,方可开锁。否则进入“错误”状态,发出报警信号。 2)锁内的密码可调。 3)串行数字密码锁的报警,直到按下复位开关,才停下。此时,数字密码锁又自动等待下一个开锁状态。 3.系统设计 本设计中,FPGA系统采用硬件描述语言Verilog按模块化方式进行设计,并用modersim软件对各个模块进行编写仿真。 3.1键盘模块 键盘电路理想接口图: Set

本模块采用2×2的扫描键盘电路,对输入信号进行采集,此模块的主要功能是每按下一个按键,flag产生一个矩形波,作为连接模块的触发信号。同时key_value值为所按下键的编码值,与flag一同传入连接模块。 实际设计接口图: 当输出kevalue:11值的时候,flag再次出现上跳沿。实际上,上面的图写的测试文件是有一点错误的,当a扫描到第三个值(01)时,b在实际电路中应该是01而不是11,此时根据程序flag应置为1,当然此时flag本来就是1,不会发生错误。在实际中,时钟频率跳的如此之快,人按一下按键的持续时间还是有的,所以flag应在按键按完后再下降下来。不然多出很多无用的矩形波,这个装置就没用了。 3.2连接模块 连接模块接口图: keyvalue 送入连接模块进行运算,当连续四个0和1键按下时,中,如果按下的是set键,则set置1,如果按下的是0键,则a_led置1,若是1键,则b_led 置1。 这里有一个需要注意的点是,当第一次按了0键后马上按reset键,再按一下1键时,a的值是1,而不是0。每次按了reset或set,a,b,c,d都是要重新赋值的,这才符合实际情况。 3.3控制模块: 因为这个密码锁是循环使用的,就一定有不同的状态。这里采用有限状态机的方法进行设计。所以把开锁过程分为三个部分: 1.等待输入状态; 2.重设密码状态; 3.输出结果状态; 状态转换图如下所示: 控制模块接口图: flag2 ena

电子密码锁单片机课程设计

电子密码锁单片机课程设计

单片机原理与应用技术课程设计报告 电子密码锁设计 专业班级:电气 124 姓名: 时间:2015年1月2日 指导教师:秦国庆 2015年1月2日

电子密码锁课程设计任务书 1.设计目的与要求 设计出一个电子密码锁。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)状态显示功能:锁定状态时系统用3位数码管显示OFF, 用3位码管显示成功开锁次 数;成功开锁时用3位数码管 显示888,用3位数码管显示 成功开锁次数。 (2)密码设定功能:通过一个4×4的矩阵式键盘可以任意设 置用户密码(1-26位长度), 同时系统掉电后能自动记忆 和存储密码在系统中。(3)报警和加锁功能:密码的输入

时间超过12秒或者连续3次 输入失败,声音报警同时锁定 系统,不让再次输入密码。此 时只有使用管理员密码方能 对系统解锁。 2.设计内容 (1)画出电路原理图,正确使用逻辑关系; (2)确定元器件及元件参数; (3)进行电路模拟仿真; (4)SCH文件生成与打印输出; 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。 1

电子密码锁 电气124 赵政权 摘要:电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。本设计利用51单片机编程控制原理,采用矩阵键盘、数码管显示、数据存储器和报警系统构成电子密码锁的设计成品。其中数码管使用两组三位一体共阳数码管,数据存储器使用AT24C04芯片通过IIC协议实现数据的传输,矩阵键盘用执行效率极高的代码扫描控制。使用Keil4.6编写程序代码,用Proteus进行仿真,无误后使用Altium制图,最终做成能使用的成品。 关键词: 51单片机 IIC协议矩阵键盘动态显示 Keil Proteus Altium 2

密码锁-单片机课程设计

1 绪论 随着科技和人们的生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统机械锁由于构造简单,被撬事件屡见不鲜;电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。电子锁可以在日常生活和现代办公中,住宅与办公室的安全防范、单位的文件档案、财务报表以及一些个人资料的保存等多种场合使用。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性和方便性,用密码代替钥匙的密码锁应运而生。密码锁具有安全性高、成本低、功耗低、易操作等优点随着人们对安全的重视和科技的发展,对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。具有报警功能的电子密码锁保密性高,使用灵活性好,安全系数高,密码锁设计方法合理,简单易行,成本低,符合住宅、办公室用锁要求,具有推广价值。电子密码锁采取电子电路控制,通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务。 本次设计使用AT89S52单片机构成最小系统,接以键盘,报警系统,液晶显示构成人性化的方便易用的电子密码锁。

2 设计任务、功能要求说明及总体方案介绍 2.1 设计目的与任务 本设计采用AT89S52单片机为主控芯片,结合外围电路矩阵键盘、液晶显示器LCD 1602A等部分组成。其中矩阵键盘用于输入数字密码和进行各种功能的实现。由用户通过连接单片机的矩阵键盘输入密码,后经过单片机对用户输入的密码与自己保存的密码进行对比,从而判断密码是否正确,然后控制引脚的高低电平传到开锁电路或者报警电路控制开锁还是报警,组成的电子密码锁系统。 2.2 设计内容及要求 (1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 (2)其密码为方8位十进制代码(代码自设定)。 (3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) (4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路,查阅至少5篇参考文献。按《湖南工学院课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 2.3 设计总体方案及工作原理说明 采用以单片机AT89S52为核心的控制方案,总体框图见图1。 单片机作为本装置的核心器件,在系统中起到控制声光报警、以及数码动态显示的作用。一般来说在选择单片机时下面几个方面考虑:性能、存储器、运行速度、I/O口、定时/计数器、串行接口、模拟电路功能、工作电压、功耗、封装形式、抗干扰性、保密性,除了以上的一些还有一些最基本的比如:中断源的数量和优先级、工作温度范围、有没有低电压检测功能、单片机内有无时钟振荡器、有无上电复位功能等。在开发过程中单片机还受到:开发工具、编程器、开发成本、开发人员的适应性、技术支持和服务等等因素。基于以上因素本设计选用单片机AT89S52作为本设计的核心元件,利用单片机灵活的编程设计和丰富的I/O 端口,以及控制的准确性,实现基本的密码锁功能。在单片机的外围电路外接加

数字电子技术课程设计电子密码锁

课程设计说明书 课程名称: 数字电子技术课程设计 题目:电子密码锁 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号. 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码.当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s内未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路. 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制.通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响).

密码锁verilog课程设计

课程设计报告课程设计题目:4位串行数字密码锁 学号:201420130326

学生姓名:谢渊良 专业:通信工程 班级:1421302 指导教师:钟凯 2017年1月5日 1.摘要 随着科技的发展数字电路的各种产品广泛应用,传统的机械锁由于其构造的简单,安全性不高,电子密码锁其保密性高,使用灵活性好,安全系数高,使用方便,将会是未来使用的趋势。本设计使用EDA设计使设计过程廷到高度自动化,其具有强大的设计功能、测试、仿真分析、管理等功能。使用EDA环境完成电路的系统综合设计和仿真。用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁。本设计基于Verilog HDL语言来设计密码锁,先介绍设计要求和整体设计思想,随后对所使用各模块分别为键盘模块、连接模块、控制模块进行了介绍,给出各个模块的主要代码,在对各个模块的功能进行仿真。 关键字:密码锁Verilog HDL

2.设计内容 设计一个4位数字密码锁子系统 1)1.2设计要求 开锁密码为4位二进制,当输入密码与锁内给定的密码一致时,方可开锁。否则进入“错误”状态,发出报警信号。 2)锁内的密码可调。 3)串行数字密码锁的报警,直到按下复位开关,才停下。此时,数字密码锁又自动等待下一个开锁状态。 3.系统设计 本设计中,FPGA 系统采用硬件描述语言Verilog 按模块化方式进行设计,并用modersim 软件对各个模块进行编写仿真。 3.1键盘模块 键盘电路理想接口图: flag Set Reset key_value

设计原理: 本模块采用2×2的扫描键盘电路,对输入信号进行采集,此模块的主要功能是每按下一个按键,flag 产生一个矩形波,作为连接模块的触发信号。同时key_value 值为所按下键的编码值,与flag 一同传入连接模块。 实际设计接口图: flag key_value 键盘模块仿真图:

电子密码锁课程设计

目录 摘要 本次课程设计的题目是电子密码校验设计,由输入密码、设定密码、寄存电路、比较电路、显示电路、修改密码等模块组成。 该电子密码锁利用数字逻辑电路,实现对锁的电子控制,突破了传统的机械锁的单一性、保密性低、易撬性的缺点,数字电子密码锁具有保密性高、使用灵活性好、安全系数高的优点。

主要工作部分是将输入密码与正确密码进行比较,密码正确时绿色发光二极管亮,密码错误则红色发光二极管亮。输入电路将6位密码并行输入,密码是否相等利用与非门将输入的密码和预定密码进行比较,当相等时便触发绿色发光二极管,不相等则作用到红色发光二极管和蜂鸣器。 1.设计目的 本课程为电子、通信类专业的独立实践课,该课程设计建立在电路基础、低频与高频电子线路等课程的基础上,主要让学生加深对电子线路理论知识的掌握,使学生能把所学的知识系统地、高效地贯穿到实践中来,避免理论与实践的脱离,同时提高学生的动手能力,并在实践中不断完善理论基础知识,有助于培养学生综合能力。 2.设计要求 1)要求电子器件设计制作密码锁的控制电路,使之在输入正确的代码时,输出信号以 启动执行机构动作,并且用红、绿LED指示关锁、开锁状态。 2)密码锁控制器中存储一个4位代码,当开锁按钮开关设置9位,其中只有4位有效) 的输入代码等于存储代码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。 3)从第一个按钮触动后的5秒内若未能将锁打开,则电路自动复位并由扬声器发出 20秒的报警信号,同时用绿灯灭、红灯亮表示关锁状态。 4)要求性能可靠、操作简便。 5)密码锁控制器中存储的4位密码可以修改。 3.方案选择 第一方案555集成电路构成的密码锁电路 图3-1555时基集成电路组成的电子密码锁电路

数字电路密码锁设计

电子密码锁 摘要本文的电子密码锁利用数字逻辑电路,实现对门的电子控制,并且有各种附加电路保证电路能够安工作,有极高的安全系数。 关键词电子密码锁电压比较器555单稳态电路计数器JK触发器UPS电源。 1引言 随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲呢。 设计本课题时构思了两种方案:一种是用以A T89C2051为核心的单片机控制方案;另一种是用以74LS112双JK触发器构成的数字逻辑电路控制方案。考虑到单片机方案原理复杂,而且调试较为繁琐,所以本文采用后一种方案。 2 总体方案设计 2.1设计思路 共设了9个用户输入键,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,键盘输入电路自动清零,原先输入的密码无效,需要重新输入;如果用户输入密码的时间超过40秒(一般情况下,用户不会超过40秒,若用户觉得不便,还可以修改)电路将报警80秒,若电路连续报警三次,电路将锁定键盘5分钟,防止他人的非法操作。 2.2总体方框图

3 设计原理分析 电路由两大部分组成:密码锁电路和备用电源(UPS),其中设置UPS 电源是为了防止因为停电 造成的密码锁电路失效,使用户免遭麻烦。 密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、报警电路、键盘输入次数锁定电路。 3.1 键盘输入、密码修改、密码检测、开锁及执行电路 . 其电路如下图1所示: 开关K1~K9是用户的输入密码的键盘,用户可以通过开关输入密码,开关两端的电容是为了提高开关速度,电路先自动将IC1~IC4清零,由报警电路送来的清零信号经C25送到T11基极,使T11 导通,其集电极输出低电平,送往IC1~IC4,实现清零。 密码修改电路由双刀双掷开关S1~S4组成(如图2所示), 它是利用开关切换的原理实现密码的修改。例如要设定密码为1458,可以拨动开关S1向左,S2向右,S3向左,S4向右,即可实现密码的修改,由于输入的密码要经过S1~S4的选择,也就实现了密码的校验。本电路有16组的密码可供修改。 由两块74LS112(双JK 触发器,包含IC1~IC4)组成密码检测电路。由于IC1处于计数状态,当用户按下第一个正确的密码后,CLK 端出现了一个负的下降沿,IC1计数,Q 端输出为高电平,用户依次按下有效的密码,IC2~IC3也依次输出高电平,送入与门IC5,使其输出开锁的高电平信号送往IC13的2脚,执行电路动作,实现开锁。 执行电路是由一块555单稳态电路(IC13),以及由T10、 T11组成的达林顿管构成。若IC13的2脚输入一高电平,则3脚输出高电平,使T10导通,T11导通,电磁阀开启,实现开门,同时T10集电极上接的D5(绿色发光二极管)发亮,表示开门,20秒后,555电路状态翻转,电磁阀停止工作,以节电。其中电磁阀并联的电容C24使为了提高电磁阀的力矩。 图1 键盘输入、密码修改、密码检测、开锁、执行电路 3.2 报警电路 报警电路实现的功能是:当输入密码的时间超过40秒(一般情况下用户输入不会超过),电路报警80秒,防止他人恶意开锁。 电路包含两大部分,2分钟延时和40秒延时电路。其工作原理是当用户开始输入密码时,电路开始2分钟计时,超出40秒,电路开始80秒的报警。如图3所示 CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC2A CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC3A CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC1A R26 R25 R24 R2 R20 VCC CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC4A K1 K2 S1 K3 K4 S2 K5 K6 S3 K7 K8 S4 S? TRIG 2 Q 3 R 4 CVolt 5 THR 6 DIS 7 VCC 8 GND 1 V3 C13 20U C12 . . . . T10 T11 D5 10 C14 0.01U C15 0.01U C16 0.01U C17 0.01U C18 0.01U C19 0.01U C20 0.01U C21 0.01U TC13 1M R21 3.3K 电磁锁 清零信号 RD 1 2 4 5 6 IC5A 1 2 3 IC6A 锁定信号 E1 1K X 4 清零信号 RD 74LS112 消除报警信号 74ls08 74ls22 k9 74LS112 74LS113 74LS114 555 T12 9018 C25 47u R20 20k R27 2.2k 来自报警电路 的清零信号 C24 500u

电子密码锁单片机课程设计

湖南学院 课程设计 课程名称 课题名称电子密码锁设计 专业测控技术与仪器 班级测控 学号 姓名 指导教师 年月日

湖南学院 课程设计任务书 课程名称 课题电子密码锁设计 专业班级测控 学生姓名 学号 指导老师 审批 任务书下达日期年月日 任务完成日期年月日

设计内容与设计要求 设计内容: 1、密码的设定,此密码是固定在程序存储器ROM中,假设预设的 密码为“12345”共5位密码。 2、密码的输入:采用两个按键来完成密码的输入,其中一个按 键为功能键,另一个按键为数字键。在密码都已经输入完毕并 且确认功能键之后,才能完成密码的输入过程。然后进入密码 的判断比较处理状态并给出相应的处理过程。 3、按键禁止功能:初始化时,允许按键输入密码,当有按键按下 并开始进入按键识别状态时,按键禁止功能被激活,但启动的 状态是在3次密码输入不正确的情况下发生的。 设计要求: 1、设计方案要合理、正确; 2、系统硬件设计及焊接制作; 3、系统软件设计及调试; 4、系统联调; 5、写出设计报告。

主要设计条件 1、MCS-51单片机实验操作台1台; 2、PC机及单片机调试软件; 3、单片机应用系统板1套; 4、制作工具1套; 5、系统设计所需的元器件。 说明书格式 1.封面 2.课程设计任务书 3.目录 4.系统总体方案设计 5.系统硬件设计 6.软件设计(包括流程图) 7.系统的安装调试说明 8、总结 9、参考文献 10、附录(源程序清单及硬件原理图等); 11、课程设计成绩评分表。

进度安排 设计时间为两周 第一周 星期一、上午:布置课题任务,讲课及课题介绍 下午:借阅有关资料,总体方案讨论 星期二、确定总体设计方案 星期三、硬件模块方案设计 星期四、软件模块方案设计 星期五、设计及调试 第二周 星期一、设计及调试 星期二、设计及调试 星期三、总调 星期四、写说明书 星期五、上午:写说明书,整理资料 下午:交设计资料,答辩 参考文献 [1]、《微型计算机原理及应用》许立梓编机械工业出版社 2002 [2]、《微型计算机接口技术及应用》刘乐善编华中理工大学出版社 2000 [3]、《计算机硬件技术基础试验教程》邹逢兴编高等教育出版社 2000 [4]、《16位微型计算机原理接口及其应用》周佩玲编中国科学技术大学出版 社2000 [5]、《微型计算机原理与接口技术》吴秀清编中国科学技术出版社 2001 [6]、《微型计算机接口技术》邓亚平编清华大学出版社 2001 [7]、《单片机原理及及应用》王迎旭编机械工业出版社 2001 [8]、《单片机应用程序设计技术》周航慈著北京航空航天大学出版社 2002 [9]、《单片机实用技术问答》谢宜仁主编人民邮电出版社 2002

简易电子密码锁课程设计

江西理工大学应用科学学院 微机控制系统课程设计报告 题目:简易电子密码锁 姓名: 学号: 专业班级: 指导教师: 完成时间: 设计报告综合测试平时总评 格式(10分) 内容 (10分) 图表 (5分) 功能测试 (35分) 答辩 (20分) 考勤 (20分)指导教师签名:

目录 摘要.................................................... - 1 - 第一章系统概述.. (2) 第二章基本功能设计.................................... - 3 - 2.1 实验任务........................................ - 3 - 2.2 基本设计要求.................................... - 3 - 2.2.1 基本要求.................................. - 3 - 2.2.2发挥部分 .................................. - 3 - 2.3 主要元件介绍 (3) 2.3.1 P89C51芯片 (3) 2.4 系统框图 (5) 第三章硬件设计 (5) 3.1 硬件电路的设计 (5) 3.1.1 硬件工作接线口 (5) 3.1.2 LED显示器结构与原理 (5) 3.1.3 复位电路 (7) 3.1.4 振荡电路 (7) 3.1.5 按键设置 (8) 3.1.6 报警器和发光二极管 (9) 3.2 硬件电路图 (9) 第四章软件设计 (11) 4.1 系统软件设计 (11) 4.1.1密码开锁功能 (12) 第五章系统PROTUSE仿真图 (13) 第六章设计总结 (16) 参考文献 (17) 附录 (18)

数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计 课题:电子密码锁设计 姓名: 班级:13通信 学号: 成绩: 指导教师: 开课时间:

目录 摘要 (1) 一课程设计目的内容及安排 (2) 1.1设计目的 (2) 1.2设计内容 (2) 1.3设计安排 (2) 1.4设计内容 (2) 二电子密码锁设计要求及总框图 (3) 2.1设计要求 (3) 2.2总框图 (4) 三各模块电路设计 (5) 3.1密码输入存储比较模块 (5) 3.2五秒计时电路 (6) 3.3二十秒计时电路 (8) 3.4报警电路 (10) 3.5总电路 (11) 四设计心得 (12) 五参考文献 (13)

电子密码锁 摘要:设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。密码输入存储及比较部分使用芯片74LS194及74LS85。五秒及时部分采用芯片74LS161和数码显示管。二十秒报警电路由74LS160,555定时器组成的多谐振荡器,LED灯和蜂鸣器组成。利用multisim对电路进行仿真可以得到结果。 关键词:电子密码锁,计时电路,报警电路

一课程设计目的内容及安排 1.1设计目的 1 根据设计要求,完成对交通信号灯的设计。 2 加强对Multisim10仿真软件的应用。 3 掌握交通信号灯的主要功能与在仿真软件中的实现方法。 4 掌握74LS160,74LS192等功能。 1.2 设计内容 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁; 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 1.3设计安排

课程设计-密码锁

课程设计课程名称: 设计题目: 专业: 姓名: 学号:

一、设计内容和要求 题目:密码锁 该锁有4个密码拨动开关(设定0和1)来设置密码,一个开箱钥匙孔(能接通电池)。当用钥匙开箱时,如果输入的4位密码正确,箱被打开;否则,电路将发出警报(发光二极管亮表示)。要求锁的密码在第一次使用时能由用户自行进行一次性设定(机械方式)。 具体要求: 1)写出该组合逻辑电路的分析和设计方法; 2)画出电路原理图,标明要使用的芯片。 二、电路设计 1.题目分析 从题目要求来看,该密码锁必须具备以下几个条件: (1).所设置的密码必须为4位,例如1000。 (2).密码错误时,必须发出警报,此时二极管亮。 (3).可以进行密码设定。 2.设计思路 因为每一位密码只有两个状态,即0和1,因此可以用单刀双掷开关结合高低电平来实现密码的设定以及输入,而设定密码与输入密码的比对可以通过异或门来实现。具体可以是4个异或门连接输入端,然后与反向器相连接,再连接4输入与非门,最后连接一个开关,一个500欧姆的限流电阻,一个发光二极管。 3.具体电路 总电路图如下图所示:

开始的8个单刀双掷开关和VCC以及GND部分是密码的设定以及输入部分,期中J2-J5是密码的设定,J6-J9是密码的输入。开关拨到上输入1,开关拨到下输入为0。上图的设定密码为1010,此时输入密码也为1010,密码正确,因此发光二极管不亮。如果输入密码为1011,此时与设定密码不相符,就会发出警报,表现为二极管发光,如下图: 从图可以看出,二极管发出绿光,说明密码错误,需要重新输入。 开关J1与开箱钥匙孔相连接。当用钥匙开箱时,开关J1闭合,电路接通。 如果密码错误二极管就会发光。 4.电路分析 异或门电路的性质:两个引脚输入相同时输出为0,输入相异时输出为1。由此可知,如果设置密码与输入密码相同时,U9A的输出电平为低电平,因此发光二极管不发光。如果设置密码与输入密码不同,则U9A的输出电平为高电平,此时二极管发光。如果J1断开,即不用钥匙开箱,则无论输入是什么,都不可能发光。如果J1接通,即用钥匙开箱,则需要满足输入与设定不同是才会发光。 5.芯片需求 54LS86是一个有4二输入异或门的芯片,引脚图如下:

数电综合设计 电子密码锁的设计

昆明理工大学数字电路与系统 课程设计报告 题目:电子密码锁的设计学院:理学院 专业班级: 姓名: 学号: 指导教师: 完成时间:201 年5月26日

目录 目录 (1) 1、前言 (2) 2.总体方案设计 (2) 2.1 设计目的 (2) 2.2 技术指标 (2) 2.3 方案框图 (2) 3. 单元模块设计 (3) 3.1.1 密码设置模块设计 (3) 3.1.2 矩阵键盘模块设计 (4) 3.1.3密码输入锁存模块 (5) 3.1.4密码比较模块 (6) 3.1.5定时报警模块(该模块还有一些问题,有待改进) (7) 3.1.6开锁模块 (8) 3.2电路元件选择和参数计算 (8) 3.3.1 555定时器介绍 (9) 3.3.2 74HC161器件介绍 (10) 3.3.3移位寄存器74HC194介绍 (10) 3.4各单元模块的联接 (11) 4 仿真电路总图 (11) 4.1密码设置模块的仿真调试 (12) 4.2密码输入锁存模块的仿真调试 (12) 4.3密码比较模块调试 (13) 5、总结和体会 (2) 6、参考文献 (2)

1.前言 社会发展,人们生活水平提高,传统的机械锁由于其构造的简单,被撬的事件时常发生,电子密码锁由于其保密性高,使用灵活性好,并且可以减少人们日常忘带钥匙的所带来的麻烦,因此受到了广大用户的喜爱。电子密码锁,主要由三个部分组成:数字密码输入电路、密码锁控制电路和密码锁显示电路。作为密码锁的输入部分,本设计采用通用数字机械键盘。 密码锁输入电路:包括时序产生电路、键盘译码电路等电路。密码锁控制包括密码核对(数值比较,解锁电路(开/关门锁电路)等几个小的功能电路。七段数码管显示电路将待显示数据的BCD 码转换成数码器的七段显示驱动编码。以此为基础设计电子密码锁。 2.总体方案设计 2.1 设计目的 基于数字逻辑课程的学习,初步掌握了简单组合电路和时序电路的设计与功能,通过这次大作业,主要培养理论知识结合实践的综合性能力,进一步理解基本逻辑门,触发器,计数器,寄存器,等的功能特性和实际作用,在已知芯片功能的基础上,通过课外知识的拓展,对所学知识有更深刻和全面的认识。具体有以下几点: 1、巩固和加深对数字电路基本知识的理解,提高综合运用本课程所学知识的能力。 2、培养根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、调试和检 测等环节,初步掌握简单实用电路的分析方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高进行数字电子电路实验的基本 技能。 2.2 技术指标 1、设计一个数字锁,每把锁都有其预先设定好的(四位十进制数)开锁密码,在一个 开锁控制信号作用下用该密码可以打开锁; 2、开锁时,其预先设定好的(四位十进制数)代码与(四位十进制代码)开锁密码 信号相符,且开锁控制信号有效时,锁打开; 3、若不符,电路将发出报警信号; 2.3 方案框图

单片机电子密码锁课程设计

单片机电子密码锁 课程设计 1

基于单片机的电子密码锁设计 一、设计要求和条件 1.1 设计要求 根据单片机开发板所提供的元件特性和硬件电路, 编写相关的程序, 经过实验开发板实现电子密码锁在LCD1602上显示的功能。 1.搭建proteus仿真电路图平台, 模拟单片机要实现的功能; 2.焊接单片机系统开发板; 3.编写程序, 实现密码锁相关功能; 4.下载并调试程序, 实现密码锁的具体功能。 1.2 设计目的 1.熟练掌握KEIL软件的使用方法; 2.熟练掌握PROTEUS软件的使用方法; 3.掌握单片机I/O接口的工作原理; 4.掌握中断系统的工作原理; 5.掌握液晶LCD1602的工作原理及编程方法; 6.掌握蜂鸣器的编程使用; 2

7.掌握行列式键盘的工作原理及编程使用方法; 8.掌握单片机的ISP下载使用方法。 1.3 功能概述 本设计是基于单片机的密码锁设计方案, 根据要求, 给出了该单片机密码锁的硬件电路和软件程序, 同时给出了硬件设计方案、软件流程图、C语言源程序及详细注释等内容, 由于单片机实验板上的矩阵键盘为3*3的, 则规定0-5号键为数字键, 6-8号键为功能键, 其中该密码锁的具体功能介绍如下: (1)按”8”号键则输入密码, 初始密码为012345, 在LCD1602上显示密码值为”******”( 密码是保密的) , 输完6位后键盘就锁定, 在LCD1602上显示密码是否正确, 若输入的密码长度小于6位, 则1602等待密码输入。 (2)若密码输入正确后, 则绿色的发光二极管亮表示开锁, 而且1602上显示”you are right!”, 等待是否修改密码。 (3)密码输入错误时显示”code is wrong”, 接着会给你第二、第三次机会输入密码, 如果三次密码都错误时, 发出”叮咚”的报警声, 且红色报警指示灯不停闪烁, 按复位键清除报警。 3

相关文档
相关文档 最新文档