文档库 最新最全的文档下载
当前位置:文档库 › 模数转换设计报告

模数转换设计报告

模数转换设计报告
模数转换设计报告

并行模数转换设计报告 (TLC0820芯片) 学校:

院系:

班级:

学生:

指导老师:

TLCO820 设计报告

目录:

1.设计要求 (3)

2.设计方案 (3)

2.1前级电路………………………………………………

2.2 模数转换电路…………………………………………

3.元件参数确 (14)

3.1 衰减电路元件参数确定………………………………

3.2 第一级放大电路参数定………………………………

3.3 电位提高电路参数确定………………………………

3.4 第二级放大电路参数确定……………………………

4.单片机C语言关于有效值的算法 (18)

4.1 硬件测试…………………………………………………

4.2 程序测试………………………………………………

5.电路测试结果分析 (19)

6.参考文献 (21)

7.附录:系统电路图 (22)

模数转换分为两种模式,一种为串行另一种为并行。本次设计采用并行方式进行模数转换。

1.设计要求

1.1 电路可以对小信号实行放大功能,对大信号可以衰减。使其幅值在0~5v范围内。

1.2 该电路可以抬高电位,即信号经过该电路值都为正。

1.3 电路可实现模数转功能,即输入的模拟量,可以经过ATmega128单片机使其在1602液晶显示屏上显示其有效值。

2.设计方案

2.1前级电路

2.1.1 衰减电路

方案一要实现即对大信号有衰减作用可以在信号输入端加两个电阻,让其串联,让其具有分压作用。电路如图所示。

图——2.1.1-1

方案二 利用运算放大器组成反相衰减器实现信号衰减。其电路图如下图所

示。

图——2.1.1-2 由

1Rp Vo -0R30

-Vi

可得

R3

1Rp Vi ?-

=Vo

R3

1Rp Av -

=

当Rp1

因为很多运放不适宜工作在放大倍数小于一的情况下,考虑到此种情况及其

经济效益,方案一比较合理。

2.1.2 要实现对小信号具有放大作用就要使用运算放大器以实现其放大功能。

图2.1.2 由

1Rp Vo -0R30

-Vi =

可得

R3

1Rp Vi ?-

=Vo

R3

1Rp Av -

=

当Rp1>R3时小信号就可以得以放大,Rp1和R3取合适值时,就可以实

现放大要求。

2.1.3 提高电位

要对信号的电位提高,使其 所有的幅值为正。 方案一 使用上拉电压方式。电 路如下提所示。

图2.1.3—1 由叠加原理可得

c

Rp R Vc 3

6 Vi Vi'?+

=

min Vi Vc 3

6

>?c Rp R

Vi'的值都大于0。 方案二 由加法器实现电位提升

图2.1.3—2 由

4

02

021

1R Vo R Vi R Vi -=

-+-

可得

)

2

21

1(

R Vi R Vi Rf Vo +

?-=

当Vi2为直流量且所有元件参数取值适当即可实现电位提升。

比较两种方案可知,方案一经济效益好且功耗低。

综上前级电路的设计可用运放NE5532芯片采用两级放大模式。电路如下图所示

图——2

2.2 模数转换电路

由于本次设计采用TLC0820芯片用作模数转换,故应遵循该芯片的工作模式进行电路设计。

模数转换分为两种模式,一种为串行另一种为并行。其中TLC0820为并行模数转换。它为8位数模转换器,在整个温度范围内以1.18us完成8位转换。其引脚排列如下图所示。

图2.2——1

2.2.1 引脚功能

TLC0820有20个管脚,其工作电压为+5V。引脚功能如下表所示:引脚号名称 I/O 说明

1 ANLG LN I 模拟输入端

13 CS I 片选端

2 D0 O 数据端

3 D1 0 数据端

4 D2 O 数据端

5 D3 O 数据端

14 D4 O 数据端

15 D5 O 数据端

16 D6 O 数据端

17 D7 O 数据端

10 GND 地

9 INT O 中断

7 MODE I 方式选择

19 NC 无内部连接

18 OFLW O 溢出指示端

8 RD I 读

11 REF- I 基准电压

12 REF+ I 基准电压

13 VCC 电源

6 WR/RDY I/O 写准备好

表2.2——1

要对TLC0820芯片控制可用单片机I/O口实现此功能。其工作时可提供两种工作模式,一种为写读方式,另一种为只读方式。

2.2.2 工作原理

TLC0820AC和TLC0820AI均采用取样数据比较器技术及普遍用于许多高速转换器的快闪技术。应用两个4位快闪模数转换器完成8位输出。推荐的模拟输入电压范围是0.1V至VCC+0.1V。小于Vref-+1/2LSB或大于Vref++1/2LSB的

模拟输入信号分别转换为00 00 00 00或11 11 11 11。基准输入是全差分

的,其共模极限为电源决定。基准输入值决定模拟输入满量程范围。这允许ADC的增益通过改变Vref+及Vref-电压值而变化以便于比率转换。器件在两种方式下工作:读及写读方式,可通过MODE选择。当MODE处于低电平时,转换器被设为(只)读方式。在读方式, WR/RDY 被用作输出且被认为是准备好端。在此状态, WR/RDY 低电平且 CS低电平指示器件忙。转换开始于RD的

下降沿且在INT下降和WR/RDY恢复至高阻抗状态后2.5μs内完成。此时数据输出亦从高阻抗状态转变为有效状态。数据读出后,RD处高电平状态,INT恢复高电平状态,数据输出恢复至高阻抗状态。当MODE处高电平状态,转换器被设为写读方式且WR/RDY被看作是写端。保持CS和WR/RDY低电平可选择转换器并开始输入信号的测量。大约在 WR/RDY 恢复高电平后 600ns ,转换完成。在写读方式,转换开始于WR/RDY的上升沿。高4 位快闪 ADC通过同时工作的 16 个比较器测量输入信号。高精度的4 位 DAC 这时从转换结果产生一离散的模

拟电压。一段延迟时间后,第二组比较器根据输入电平及 DAC 输出间的模拟电压差完成低四位转换。每一个转换结果输入一个8位锁定电路并且在RD的下降沿输出至3态输出缓冲器。

2.2.3 时序图

下面四幅图为不同工作条件下TLC0820工作时序图:

2.2.4 实际转换电路

根据该芯片引脚功能及该题设计目的我们可以采用写读方式。控制电路图可如下图所示。

图2.2.4——1

该接法只是使用TLC0820的写读方式,参考电压VREF+=5V,VREF-=0V。AD 转换过程经过取样、保持、量化、编码。当CS为低电平时,WR输入信号的下降沿启动转换开始。只要 RD 输入在内部计数延迟时间完成之前不变低,转换结果在其之后被选通入输出锁定。延迟时间 td(int)大约为800ns。在读方式,RDY(一开漏输出)在CS的下降沿变低且当转换选通入输出锁定电路时进入高阻抗状态。由于该电路的CS、RD一直为低,MODE一直为高。故只有写读控制端电位的变化控制其工作。当6脚由高变低时转换开始,由于CS、RD一直为低,D0到D7一直被激活,当控制端使6脚变高时2.5us后转换完成,,D0到D7开始输出数据。

3 元件参数确定

3.1 衰减电路元件参数确定

图3.1

该电路只是由两个固定电阻,一个电位器加上一个极性电容组成。要实现对大信号衰减该电路阻值必须较大,起到限流作用。衰减范围0.2——0.02即可。

根据

2

41)24('i R Rp R Vi R Rp V +++=

当 R1取标称值510ΩK 时

R2取10ΩK ,Rp4取100ΩK 即可 因为

RC f π21=

所以 当C 越大时f 越小。故取标称值47uF 。

3.2 第一级放大电路参数确定

图3.2

因为第一级放大电路Av 为5左右即可。

R3

1Rp Av -

=

所以取标称值

Rp1=50ΩK R3=R4=5.1ΩK

即可满足设计要求 3.3 电位提高电路参数确定

图3.3

把基准电位提高到2.5V ,同时满足电流较小。 根据

c

Rp R Vc 3

6 Vi Vi'?+=

可以选择

R7=51ΩK Rp3=100ΩK 电容C4起到隔直流作用

取标称值 C4=10uF

3.4 第二级放大电路参数确定

图3.4——1

和第一级放大电路参数差不多,只不过是在反相输入端的R5和地之间加了一个C2=10uF 的隔直电容。

图3.4——2

4.单片机C语言关于有效值的算法

RMS 检波又称为有效值检波或者均方根检波,它计算分配到每个像素点内所有采

样点的数值 ,计算结果对应频谱内的信号功率。在计算有效值时 ,所有采样点的数值

均采用线性刻度。有效值可通过下式得出:

N

Vi

Vrms N

i ∑==

1

2

式中: V RMS 为电压的有效值(单位:V) ; N 为 每个像素点所分配的采样点的个

数; vi 为每个采样点的包络电压数值(单位:V) 。再根据阻抗值 R 就可以计算出信号

的实际功率:P = V 2RMS / R 在使用 RMS 检波时 ,增加扫描时间 ,会使参与计算的采

样点增多 ,起到平滑轨迹的作用。但是不允许通过减小视频滤波器带宽或者踪迹平均

来平滑显示轨迹 ,因为这样做会使显示数值变小 ,造成失真。在使用 RMS 检波时 ,视

频滤波器带宽必须大于等于 3 倍的分辨滤波器带宽。

5.电路测试结果分析

图——5

4.1 硬件测试

电路板焊接无误,运放NE5532的7管脚波形符合要求且前置电路具有衰减、

放大功能。单片机正常工作,且液晶显示屏上有显示。

4.2 程序测试

液晶显示屏上显示的数据与示波器上的有效值有一定的误差大概在0.3v 左右,在程序中做适当的数据调整可减小误差。

4.3 结果分析

Vip-p(v) fi(KHz) LCD显示Vrms(V) 示波器显示Vrms

(V)

4.8 1.4 2.60 2.61

4.8

5.09 2.57 2.63

4.8 6.99 2.62 2.64

4.8 8.32 2.63 2.64

4.8 10.54 2.59 2.64

基于单片机的数模转换设计

目录 1、系统方案.......................................... - 3 - 1.1、方案比较与选择............................... - 3 - 1.1.1、单片机选择与论证........................ - 3 - 1.1.2、显示器件选择与论证...................... - 3 - 1.1.3、键盘形式选择与论证...................... - 4 - 1.1.4排阻形式选择与论证........................ - 4 - 2理论分析与计算 ..................................... - 8 - 2.1、D/A转换器的主要技术指标......................... - 8 - 1.分辨率......................................... - 8 - 2.转换精度....................................... - 8 - 3.输出电压(或电流)的建立时间(转换速度) ...... - 8 - 4. 温度系数 2.2 数模转换器 2.2.1权电阻网络DAC的原理分析..................... - 9 - 3、电路与程序设计.................................. - 11 - 3.1.1、总体框图设计........................... - 11 - 3.1.2、显示电路............................... - 11 - 3.1.3、权电路................................. - 12 - 3.1.4、按键电路............................... - 13 - 3.1.5、驱动电路............................... - 14 -

∑-△模数转换器的原理及应用

∑-△模数转换器的原理及应用 张中平 (东南大学微电子机械系统教育部重点实验室,南京210096) 摘要:∑-△模数转换器由于造价低、精度高、性能稳定及使用方便等特点,越来越广泛地使用在一些高精度仪器仪表和测量设备中,介绍该转换器的基本原理,并重点举例介绍AD7708芯片的应用,该芯片是16 bit模数转换器,与24 bit AD7718引脚相同,可直接升级。 关键词:模数转换器;寄存器;串行口 我们通常使用的模数转换器(ADC)大多为积分型和逐次逼近型,积分型转换效果不够好,转换过程中带来的误差比较大;逐次逼近型转换效果较好但制作成本较高,尤其是高位数转换,转换位数越多,精度越高,制作成本就越高。而∑-△ADC可以以相对逐次逼近型简单的电路结构,而得到低成本,高位数及高精度的转换效果∑-△ADC大多设计为16或24 bit转换精度。近几年来,在相关的高精度仪器制作领域该转换器得到了越来越广泛的应用[1]。 1 ∑-△ADC的基本工作原理简介 ∑-△模数转换器的工作原理简单的讲,就是将模数转换过后的数字量再做一次窄带低通滤波处理。当模拟量进入转换器后,先在调制器中做求积处理,并将模拟量转为数字量,在这个过程中会产生一定的量化噪声,这种噪声将影响到输出结果,因此,采用将转换过的数字量以较低的频率一位一位地传送到输出端,同时在这之间加一级低通滤波器的方法,就可将量化噪声过滤掉,从而得到一组精确的数字量[1,2]。 2 AD7708/AD7718,∑-△ADC的应用 AD7708/AD7718是美国ADI公司若干种∑ΔADC中的一种。其中AD7708为16 bit转换精度,AD7718为24 bit转换精度,同为28条引脚,而且相同引脚功能相同,可以互换。为方便起见,下面只介绍其中一种,也是我们工作中用过的AD7708。 2.1AD7708的工作原理 同其它智能化器件一样,AD7708也可以用软件来调节其所具有的功能,即通过微控制器MCU编程向AD7708的相应寄存器填写适当的参数。AD7708芯片中共有11个寄存器, 当模式寄存器(Mode Regis-ter)的最高位后,其工作方框图[2]如图1所示。

数模模数转换实验报告

数模模数转换实验报告 一、实验目的 1、了解数模和模数转换电路的接口方法及相应程序设计方法。 2、了解数模和模数转换电路芯片的性能和工作时序。 二、实验条件 1、DOS操作系统平台 2、数模转换芯片DAC0832和模数转换器ADC0809芯片。 三、实验原理 1、数模转换: (1)微机处理的数据都是数字信号,而实际的执行电路很多都是模拟的。因此微机的处理结果又常常需要转换为模拟信号去驱动相应的执行单元,实现对被控对象的控制。这种把数字量转换为模拟量的设备称为数模转换器(DAC),简称D/A。 (2)实验中所用的数模转换芯片是DAC0832,它是由输入寄存器、DAC 寄存器和D/A 转换器组成的CMOS 器件。其特点是片内包含两个独立的8 位寄存器,因而具有二次缓冲功能,可以将被转换的数据预先存在DAC 寄存器中,同时又采集下一组数据,这就可以根据需要快速修改DAC0832 的输出。 2、模数转换: (1)在工程实时控制中,经常要把检测到的连续变化的模拟信号,如温度、压力、速度等转换为离散的数字量,才能输入计算机进行处理。实现模拟量到数字量转换的设备就是模数转换器(ADC),简称A/D。

(2)模数转换芯片的工作过程大体分为三个阶段:首先要启动模数转换过程。其次,由于转换过程需要时间,不能立即得到结果,所以需要等待一段时间。一般模数转换芯片会有一条专门的信号线表示转换是否结束。微机可以将这条信号线作为中断请求信号,用中断的方式得到转换结束的消息,也可以对这条信号线进行查询,还可以采用固定延时进行等待(因为这类芯片转换时间是固定的,事先可以知道)。最后,当判断转换已经结束的时候,微机就可以从模数转换芯片中读出转换结果。 (3)实验采用的是8 路8 位模数转换器ADC0809 芯片。ADC0809 采用逐次比较的方式进行A/D 转换,其主要原理为:将一待转换的模拟信号与一个推测信号进行比较,根据推测信号是大于还是小于输入信号来决定增大还是减少该推测信号,以便向模拟输入逼近。推测信号由D/A 转换器的输出获得,当推测信号与模拟信号相等时,向D/A 转换器输入的数字就是对应模拟信号的数字量。ADC0809 的转换时间为64 个时钟周期(时钟频率500K 时为128S)。分辨率为 8 位,转换精度为±LSB/2,单电源+5V 供电时输入模拟电压范围为04.98V。 四、实验内容 1、把DAC0832 的片选接偏移为10H 的地址,使用debug 命令来测试 DAC0832 的输出,通过设置不同的输出值,使用万用表测量Ua 和Ub 的模拟电压,检验DAC0832 的功能。选取典型(最低、最高和半量程等)的二进制值进行检验,记录测得的结果。实验结果记录如下:

数模与模数转换器 习题与参考答案

第11章 数模与模数转换器 习题与参考答案 【题11-1】 反相运算放大器如图题11-1所示,其输入电压为10mV ,试计算其输出电压V O 。 图题11-1 解:输出电压为: mV mV V R R V IN F O 10010101 =?=-= 【题11-2】 同相运算放大器如图题11-2所示,其输入电压为10 mV ,试计算其输出电压V O 。 图题11-2 解:mV mV V R R V IN F O 110101111 =?=+=)( 【题11-3】 图题11-3所示的是权电阻D/A 转换器与其输入数字信号列表,若数字1代表5V ,数字0代表0V ,试计算D/A 转换器输出电压V O 。 11-3 【题11-4】 试计算图题11-4所示电路的输出电压V O 。 图题11-4 解:由图可知,D 3~D 0=0101 因此输出电压为:V V V V O 5625.151650101254 === )( 【题11-5】 8位输出电压型R/2R 电阻网络D/A 转换器的参考电压为5V ,若数字输入为,该转换器输出电压V O 是多少?

解:V V V V O 988.21532565100110012 58≈== )( 【题11-6】 试计算图题11-6所示电路的输出电压V O 。 图题11-6 解:V V V D D V V n n REF O 5625.1516501012 5~240==-=-=)()( 【题11-7】 试分析图题11-7所示电路的工作原理。若是输入电压V IN =,D 3~D 0是多少? 图题11-7 解:D3=1时,V V V O 6221234== ,D3=0时,V O =0。 D2=1时,V V V O 3221224== ,D2=0时,V O =0。 D1=1时,V V V O 5.1221214== ,D1=0时,V O =0。 D0=1时,V V V O 75.0221204 ==,D0=0时,V O =0 由此可知:输入电压为,D3~D0=1101,这时V O =6V++=,大于输入电压V IN =,比较器输出低电平,使与非门74LS00封锁时钟脉冲CLK ,74LS293停止计数。 【题11-8】 满度电压为5V 的8位D/A 转换器,其台阶电压是多少?分辨率是多少? 解:台阶电压为mV mV V STEP 5.192/50008== 分辨率为:%39.00039.05000/5.195000/===mV V STEP

数模及模数转换器习题解答

数模及模数转换器习题 解答 Document number:NOCG-YUNOO-BUYTT-UU986-1986UT

自我检测题 1.就实质而言,D/A 转换器类似于译码器,A/D 转换器类似于编码器。 2.电压比较器相当于1位A/D 转换器。 3.A/D 转换的过程可分为 采样 、保持、量化、编码4个步骤。 4.就逐次逼近型和双积分型两种A/D 转换器而言, 双积分型 的抗干扰能力强, 逐次逼近型 的转换速度快。 5.A/D 6.8位D/A 1时,输出电压为,若输入数字量只有最高位为1时,则输出电压为 V 。 A . B .2.56 C . D .都不是 7.D/A 转换器的主要参数有 、转换精度和转换速度。 A .分辨率 B .输入电阻 C .输出电阻 D .参考电压 8.图所示R-2R 网络型D/A 转换器的转换公式为 。 V REF v O 图 A .∑=?- =3 3 REF o 2 2 i i i D V v B .∑=?- =3 4 REF o 2 232i i i D V v D .∑=?= 3 4 REF o 2 2i i i D V v 9.D/A 转换器可能存在哪几种转换误差试分析误差的特点及其产生误差的原因。 解:D/A 转换器的转换误差是一个综合性的静态性能指标,通常以偏移误差、增益误差、非线性误差等内容来描述转换误差。 偏移误差是指D/A 转换器输出模拟量的实际起始数值与理想起始数值之差。 增益误差是指实际转换特性曲线的斜率与理想特性曲线的斜率的偏差。 D/A 转换器实际的包络线与两端点间的直线比较仍可能存在误差,这种误差称为非线性误差。

基本模数转换器(ADC)的设计

《数字逻辑电路分析与设计》课程 项目 实施报告 题目(A):基本模数转换器(ADC)的设计 组号: 8 任课教师:。。。 组长:。。。。 成员:。。。。 成员:。。。 成员:。。。 成员:。。。 联系方式:。。。 二零一四年十月二十五日

基本模数转换器(ADC )的设计 一.设计要求 (1) 设计一个每单次按下按钮,就能够实现数模转换的电路,并用LED 显示对应输入模拟电压(0—3V )的等级,当输入电压>3V 后,有“溢出”显示。 (2) 功能模块如图: (3) 图中的“模数转换”为本教材第六章的并行ADC 转换电路。在此基础上自行设计按键、LED 显示、模拟电压调节等模块,实现单次模数转换的功能。 模拟电压 调节模数转换LED 显示 按键 5V 电源

自行设计溢出标记的显示。 (4) 本电路的测试方法是,通过一个电位器对电源电压连续分压,作为ADC 的输入电压,每按下一次按键时,ADC 电路进行一次ADC 转换,并将转换的结果用数码管显示出来。注意不要求显示实际的电压值,仅显示模拟电压的量化等级。 二.电路原理图 LED 显示

三.设计思路 根据题目要求,我们的电路本应分五个个模块,但实验室缺少8-3编码器不能实现转化,所以只能有四个一下模块:模拟电压调节;比较电路;记忆模块;LED显示。模拟电压的调节可以用划变电阻来调节电压,理想中数模转化模块应由比较器,D触发器和编码器来实现,在我们的实际电路中我们只用了前两者。最终我们用LED的亮灭来显示结果。 具体原理叙述如下: 在比较电压时,将参考电压V ref经电阻分压器产生一组不同的量化电平V i:v1=1/16V ref,v2=3/16V ref,v3=5/16V ref ,v4=7/16V ref ,v5=9/16V ref ,v6=11/16V ref ,v7=13/16V ref ,v8=15/16V ref ,这些量化电平分别送到相应lm339比较器的反相输入端,而输入电压V同时作用于lm339比较器的同相输入端。 当V大于V i时,第i个比较器输出状态1,即高电平;反之,比较器输出状态0,即低电平。比较器的输出加到D触发器的输入端,在时钟脉冲CP的作用下,把比较器的输出存入触发器,得到稳定的状态输出Q,再由LED的亮暗状态显示,高电平则亮,低电平就暗。 当V≥15/16 V ref的时候,即V超过该转换器的最大允许的输入电压的时候产生“溢出”,我们使用了一个红色的报警LED亮作为显示。 此外,鉴于会因为按键时间的长短不一而造成的脉冲不整齐的问题,需要

模数转换器原理

模数(A/D)转换器工作原理A/D转换器(Analog-to-Digital Converter)又叫模/数转换器,即是将模拟信号(电压或是电流的形式)转换成数字信号。这种数字信号可让仪表,计算机外设接口或是微处理机来加以操作或胜作使用。 A/D 转换器 (ADC)的型式有很多种,方式的不同会影响测量后的精准度。 A/D 转换器的功能是把模拟量变换成数字量。由于实现这种转换的工作原理和采用工艺技术不同,因此生产出种类繁多的A/D 转换芯片。 A/D 转换器按分辨率分为4 位、6 位、8 位、10 位、14 位、16 位和BCD码的31/2 位、51/2 位等。按照转换速度可分为超高速(转换时间=330ns),次超高速(330~3.3μS),高速(转换时间3.3~333μS),低速(转换时间>330μS)等。 A/D 转换器按照转换原理可分为直接A/D 转换器和间接A/D 转换器。所谓直接A/D 转换器,是把模拟信号直接转换成数字信号,如逐次逼近型,并联比较型等。其中逐次逼近型A/D 转换器,易于用集成工艺实现,且能达到较高的分辨率和速度,故目前集成化A/D 芯片采用逐次逼近型者多;间接A/D 转换器是先把模拟量转换成中间量,然后再转换成数字量,如电压/时间转换型(积分型),电压/频率转换型,电压/脉宽转换型等。其中积分型A/D 转换器电路简单,抗干扰能力强,切能作到高分辨率,但转换速度较慢。有些转换器还将多路开关、基准电压源、时钟电路、译码器和转换电路集成在一个芯片内,已超出了单纯A/D 转换功能,使用十分方便。 ADC 经常用于通讯、数字相机、仪器和测量以及计算机系统中,可方便数字讯号处理和信息的储存。大多数情况下,ADC 的功能会与数字电路整合在同一芯片上,但部份设备仍需使用独立的ADC。行动电话是数字芯片中整合ADC 功能的例子,而具有更高要求的蜂巢式基地台则需依赖独立的ADC 以提供最佳性能。 ADC 具备一些特性,包括: 1. 模拟输入,可以是单信道或多信道模拟输入; 2. 参考输入电压,该电压可由外部提供,也可以在ADC 内部产生; 3. 频率输入,通常由外部提供,用于确定ADC 的转换速率; 4. 电源输入,通常有模拟和数字电源接脚; 5. 数字输出,ADC 可以提供平行或串行的数字输出。在输出位数越多(分辨率越好)以及转换时间越快的要求下,其制造成本与单价就越贵。 一个完整的A/D转换过程中,必须包括取样、保持、量化与编码等几部分电路。 AD转换器需注意的项目: 取样与保持 量化与编码

模数转换器ADC0809应用原理

AD0809应用原理--很全面的资料 1. 0809的芯片说明: ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS 组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。 (1)ADC0809的内部逻辑结构 由上图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当O E端为高电平时,才可以从三态输出锁存器取走转换完的数据。 (2).引脚结构 IN0-IN7:8条模拟量输入通道

如下图所示,从ADC0809的通道IN3输入0-5V之间的模拟量,通过ADC0809转换成数字量在数码管上以十进制形成显示出来。ADC0809的VREF接+5V电压。 4.电路原理图 5.程序设计: (1).进行A/D转换时,采用查询EOC的标志信号来检测A/D转换是否完毕,若完毕则把数据通过P0端口读入,经过数据处理之后在数码管上显示。 (2).进行A/D转换之前,要启动转换的方法: ABC=110选择第三通道 ST=0,ST=1,ST=0产生启动转换的正脉冲信号 . (3). 关于0809的计算: ad0809是根据逐位逼近的方法产生数据的。。 参考电压为0-5V的话。以0809八位255的转换精度每一位的电压值为(5-0)/255≈0. 0196V 设输入电压为X则: X-27*0.0196>=0则AD7=1否则AD7=0。 X-26*0.0196>=0则AD6=1否则AD6=0。 X-20*0.0196>=0则AD0=1否则AD0=0。 (27指2的7次方。26-------20同理) 若参考电压为0-1V (1-0)/255≈0.0039V精度自然高了。。可测量范围小了。 1)汇编源程序: CH EQU 30H DPCNT EQU 31H DPBUF EQU 33H GDATA EQU 32H ST BIT P3.0

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图

四、实验程序流程框图和程序清单。 1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码 送位选信号延时1ms 熄灭第四位数码管 延时1ms 返回

单片机AD模数转换实验报告

1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 四、实验程序流程框图和程序清单。

1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB , LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H END display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码送位选信号延时1ms 熄灭第四位数码管延时1ms 返回

数模转换器和模数转换器实验报告

实验报告 课程名称微机原理与接口技术 实验项目实验五 数/模转换器和模/数转换器实验实验仪器 TPC-USB通用微机接口实验系统 系别计算机系 专业网络工程 班级/学号 学生 _ 实验日期 成绩_______________________ 指导教师王欣

实验五数/模转换器和模/数转换器实验 一、实验目的 1. 了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。 2. 了解模/数转换器的基本原理,掌握ADC0809的使用方法。 二.实验设备 1.PC微机系统一套 2.TPC-USB通用微机接口实验系统一套 三.实验要求 1.实验前要作好充分准备,包括程序框图、源程序清单、调试步骤、测试方法、对运行结果的分析等。 2.熟悉与实验有关的系统软件(如编辑程序、汇编程序、连接程序和调试程序等)使用方法。在程序调试过程中,有意识地了解并掌握TPC-USB通用微机接口实验系统的软硬件环境及使用,掌握程序的调试及运行的方法技巧。 3.实验前仔细阅读理解教材相关章节的相关容,实验时必须携带教材及实验讲义。 四.实验容及步骤 (一)数/模转换器实验 1.实验电路原理如图1,DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),编程产生以下锯齿波(从Ua和Ub输出,用示波器观察) 图1 实验连接参考电路图之一 编程提示: 1. 8位D/A转换器DAC0832的口地址为290H,输入数据与输出电压的关系为:

(UREF表示参考电压,N表示数数据),这里的参考电压为PC机的+5V电源。 2. 产生锯齿波只须将输出到DAC0832的数据由0循环递增。 3. 参考流程图(见图2): 图2 实验参考流程图之一 (二)模/数转换器 1. 实验电路原理图如图3。将实验(一)的DAC的输出Ua,送入ADC0809通道1(IN1)。 图3 实验连接参考电路图之二 2. 编程采集IN1输入的电压,在屏幕上显示出转换后的数据(用16进制数)。编程提示: 1. ADC0809的IN0口地址为298H,IN1口地址为299H。 2. IN0单极性输入电压与转换后数字的关系为:

基于ARM的模数转换器的毕业设计

学生毕业论文(设计)题目基于ARM的模数转换器的设计 姓名 XX 学号 XX 系部 XXXX系 专业 XXXXXXX技术 指导教师 XXXX 职称 XXXX(XXXX) XXXX年 1 月 XX 日 XXXXXXXXXXX教务处制

目录 摘要 (3) 关键词 (3) Abstract (3) Keywords (3) 1 绪论 (4) 1.1 技术背景 (4) 1.2 选题意义 (4) 2 A/D转换器基本原理 (4) 2.1 A/D转换器的基本原理 (4) 2.2 A/D转换器的基本功能 (5) 2.3 A/D转换模块 (5) 2.3.1 A/D转换模块概述 (5) 2.3.2 A/D转换的技术特性 (5) 2.3.3 A/D转换的功能寄存器框图 (5) 2.3.4 A/D转换初始化 (6) 2.3.5 A/D转换的操作 (6) 3 A/D转换器的设计 (7) 3.1 A/D转换器的工作原理 (7) 3.2 A/D转换电路 (8) 3.3 A/D转换器的原理图 (8) 4 A/D转换仿真结果 (9) 4.1 仿真设备 (9) 4.2 仿真设备简介 (9) 4.2.1 ADS1.2仿真软件 (9) 4.2.2 MagicARM2200 实验箱 (9) 4.3 仿真步骤 (12) 4.4 ADS1.2软件仿真 (12) 4.4.1 仿真软件 (12) 4.4.2 仿真硬件 (14) 4.5 仿真结果 (15) 结束语 (16) 致谢 (16) 参考文献 (16) 附录参考源程序 (16)

基于ARM的模数转换器的设计 XXXXXXX技术专业学生 XX 指导老师 XXXX 摘要:随着数字技术,特别是信息技术的飞速发展及普及,在现代控制通信及检测等领域,为了提高系统的性能指标,对信号的处理广泛采用了数字计算机技术。由于系统的实际对象往往都是一些模拟量(如温度、压力、位移、图像等),要使计算机或数字仪表能识别,处理这些信号,必须首先将这些模拟信号转换成数字信号;而经计算机分析,处理后输出的数字量也往往需要将其转换为相应模拟信号才能执行机构所接受。这样就需要一种能在模拟信号与数字信号之间起桥梁作用的电路——模数转换器。A/D转换器已成为信息系统中不可缺少的接口电路。为确保系统处理结果的精度,A/D转换器必须具有足够的转换精度,如果要实现快速变化信号的实时控制与检测,A/D转换器还要求具有较高的转换速度。转换精度与转换速度是衡量A/D的重要指标。随着集成技术的发展,现已研制和生产出许多单片和混合集成型的A/D转换器,它们具有愈来愈先进的技术指标。本文主要介绍了在ARM系统下,通过对A/D转换模块的设计。学习A/D接口原理及硬件电路,了解ARM的A/D 相关寄存器,利用外部模拟信号编程,实现ARM系统的A/D功能,掌握带有A/D的ARM编程实现A/D 功能的主要方法。 关键词:模数转换器;ADC模块;系统设计;仿真 ARM-based analog-to-digital converter design Student majoring in Computer-controlled technology professional XXX Ting Tutor XXX Abstract:The advent of digital technology, especially the rapid development of the information technology and the popularity of the field of modern control communication and detection, in order to improve system performance, signal processing widespread adoption of digital computer technology. Since the actual object of the system are often some analog quantity (such as temperature, pressure, displacement, image, etc.), make the computer or digital instrument can recognize, process these signals, you must first convert these analog signals into digital signals; while via computer analysis, the digital output after the processing is also often need to be converted to the corresponding analog signals in order to perform bodies accepted. Need a between the analog and digital signals from the bridge circuit - ADC .A / D converter the interface circuit has become indispensable in the information system. To ensure the accuracy of the system processing the results of the A / D converter must have a sufficient accuracy of the conversion, A / D converter is also required to have a higher conversion speed; if you want to achieve the real-time control and detection of rapidly changing signal. Conversion accuracy and conversion speed is an important indicator to measure the A / D. With the development of integration technology, has been developed and produced many monolithic and hybrid integration of A / D converter, they have more and more state-of-the-art technical indicators. This paper describes the ARM system, through the design of the A / D converter module. Learning A / D interface principle and the hardware circuit, ARM's A / D register, the use of external analog signals programmed to achieve a the ARM system of A / D function, master ARM programming with an A / D A / D function method. Keywords: analog-to-digital converter; ADC module; system design;simulation

单片机AD模数转换实验报告

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D 转换程序。 5、启动A/D转换,将输入模拟量的转换

结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 四、实验程序流程框图和程序清单。 1、查询法: ORG 0000H START: LJMP MAIN ORG 0100H

MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: M OV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H END display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码送位选信号延时1ms 熄灭第四位数码管延时1ms 返回

AD转换实验报告

A/D转换实验报告

摘要 本设计是利用AT89C51、ADC0809、CD4027芯片为核心,加以其他辅助电路实现对信号的A/D转换,其中以单片机AT89C51为核心控制A/D转换器。先是对信号进行采集,然后用ADC0809对信号实现从模拟量到数字量的转换。改变采样数据,调整电路,使其达到精确转换。

目录 1.方案设计与论证 (1) 1.1理论分析 (1) 1.2输出、输入方案选择 (1) 1.3显示方案 (2) 1.4时钟脉冲选择 (2) 2.硬件设计 (2) 2.1A/D转换器模块 (2) 2.2单片机模块 (3) 2.3JK触发器模块 (4) 3软件设计 (4) 4.仿真验证与调试 (5) 4.1测试方法 (5) 4.2性能测试仪器 (7) 4.4误差分析 (7) 5.设计总结及体会 (5) 附录(一)实物图 (6) 附录(二)软件程序 (6)

1.方案设计与论证 1.1理论分析 8位A/D转换由芯片内部的控制逻辑电路、时序产生器、移位寄存器、D/A转换器及电压比较器组成,它具有将模拟量转换成数字量的特性,其原理图如下: AD转换原理图(1) 1.2输出、输入方案选择 A/D转换器有多路选择器,可选择八路模拟信号IN0~IN7中的一路进入A/D转换。现在选择IN0通道作为输入,则对应的地址码位ADD C=0、ADD B=0、ADD A=0。当转换完成后,OE=1,打开三态输出锁存缓冲器,将转换数据从D7~D0口输出到单片机的P0端口。 IN口输入D端口输出 A/D转换器 图(2)

1.3显示方案 单片机控制数码管显示有两种动态和静态两种方法,由于静态控制数码管每次只能显示一位,造成资源浪费,所以选择动态扫描,并增加变换频率。 1.4时钟脉冲选择 方案一:可以直接用矩形波来控制 方案二:ALE通过JK触发器完成二分频,然后 Q端接CLK。因为晶振的频率是12MHz,ALE的频率为12NHz×1/6=2MHz,经过JK 触发器二分频后就是1MHz. 2.硬件设计 2.1 A/D转换器模块 A/D转换电路图(3) 模拟量从IN0端口输入,经电压比较器后输入到控制电路,转换后从D0~D7口输出,地址码位ADD C=0、ADD B=0、ADD A=0。OE 端输出允许控制信号,EOC转换结束控制信号,EOC=0,转换结束后EOC=1。START转换启动信号,上升沿将片内寄存器清零,下降

模数转换器综述_ADC

模数转换器ADC_综述 随着数字技术,特别是计算机技术的飞速发展普及,在现代控制、通讯及检测领域中,对信号的处理广泛采用了数字计算机技术。由于系统的实际处理对象往往都是一些模拟量(如温度、压力、位移、图像等),要使计算机或数字仪表能识别和处理这些信号,必须首先将这些模拟信号转换成数字信号。这样,就需要一种能将模拟信号转换为数字信号的电路,即模数转换电路(Analog to Digital Converter, ADC)。 模数转换过程 模数转换包括采样、保持、量化和编码四个过程。采样就是将一个连续变化的信号x(t)转换成时间上离散的采样信号x(n)。根据Nyquist-Shannon theorem采样定理,采样频率至少要大于或等于模拟信号最高频率的两倍,才可以无失真地重建恢复原始信号x(t)。通常采样脉冲的宽度是很短的,故采样输出是截断的窄脉冲。要将一个采样输出信号数字化,需要将采样输出所得的瞬时模拟信号保持一段时间,这就是保持过程。图1即为采样过程。 图1采样过程 量化是将连续幅度的抽样信号转换成离散时间、离散幅度的数字信号,数字信号最低有效位中的1表示的数量大小,就等于量化单位Q,如图2所示。把量化的数值用二进制代码表示,称为编码,见图3。这个二进制代码就是ADC转换的输出信号。 量化的主要问题就是量化误差。既然模拟电压是连续的,那么它就不一定能被Q整除,因而不可避免的会引入误差,我们把这种误差称为量化误差。在把模拟信号划分为不同的量化等级时,用不同的划分方法可以得到不同的量化误差。 图2采样过程

图3编码过程 要提高ADC的精度,可以通过提高采样间隔Ts和分辨率Q来实现。实际中,输入模拟信号的频率由于存在无限次谐波,因此要在采样前加入抗混叠滤波器,该滤波器与采样频率的关系一般为:f s≈ (3…5)*f filter。图4描述了这一过程。 图4加入抗混叠滤波器 模数转换技术是现实各种模拟信号通向数字世界的桥梁,作为将模拟信号转换成数字信号的模数转换技术主要有以下几种。 分级型和流水线型ADC主要应用于高速情况下的瞬态信号处理、快速波形存储与记录、高速数据采集、视频信号量化及高速数字通讯技术等领域。逐次逼近型、积分型、压频变换型等,主要应用于中速或较低速、中等精度的数据采集和智能仪器中。∑-Δ型ADC主应用于高精度数据采集特别是数字音响系统、多媒体、地震勘探仪器、声纳等电子测量领域。此外,采用脉动型和折叠型等结构的高速ADC,可应用于广播卫星中的基带解调等方面。下面对各种类型的ADC作简要介绍。 并行比较型 并行比较型AD采用多个比较器,仅作一次比较而实行转换,又称FLash型。由于转换速率极高,转换需要很多个比较器,因此电路规模也极大,价格也高,只适用于视频AD转换器等速度特别高的领域。其原理如图5所示。

模 数(A D)转换器(微机实验报告)

模/数(A/D)转换器 一、实验目的 1、掌握ADC0809模数转换芯片与计算机的连接方法; 2、了解ADC0809芯片的功能及编程方法; 3、了解计算机如何进行数据采集。 二、实验设备 1、PC机一台 2、TPC-H微机接口实验系统实验箱一台 3、连接导线若干 三、实验内容 1、实验电路原理图如图1。 ADC0809是8位A/ D转换器,每采集一次一般需100 s。由于ADC0809 A/ D转换器转换结束后会自动产生EOC信号(高电平有效)。通过实验台左下角电位器RW1输出0~5V直流电压送入ADC0809通道0(IN0),利用debug的输出命令启动A/D转换器,输入命令读取转换结果,验证输入电压与转换后数字的关系。启动IN0开始转换: O 298, 0 读取转换结果: I 298 图1 模数转换电路 2、编程采集IN0输入的电压,在屏幕上显示出转换后的数据(用16进制数)。 3、将JP3的1、2短接,使IN1处于双极性工作方式,并给IN1输入一个低频交流信号(幅度为±5V),编程采集这个信号数据并在屏幕上显示波形。 四、编程提示

1、ADC0809的IN0口地址为298H,IN1口地址为299H。 2、IN0单极性输入电压与转换后数字的关系为: 其中Ui为输入电压,UREF为参考电压,这里的参考电压为PC机的+5V电源。 3、一次A/D转换的程序可以为 MOV DX,口地址 OUT DX,AL ;启动转换 ;延时 IN AL,DX ;读取转换结果放在AL 五、参考流程图 图2 流程图1

图3 流程图2 六、实验源程序 code segment assume cs:code start: mov dx,298h ;启动A/D转换器 out dx,al mov cx,0ffh ;延迟 delay: loop delay in al,dx ;从A/D转换器输入数据 mov bl,al ;将Al保存到BL mov cl,4 shr al,cl ;将AL右移四位 call disp ;将显示子程序显示其高四位 mov al,bl

相关文档
相关文档 最新文档