文档库 最新最全的文档下载
当前位置:文档库 › 计组实验报告

计组实验报告

计组实验报告
计组实验报告

2.3.2通用寄存器实验

一、实验目的

1.熟悉通用寄存器的数据通路。

2.掌握通用寄存器的构成和运用。

二、实验要求

在掌握了AX、BX运算寄存器的读写操作后,继续完成CX、DX通用寄存器的数据写入与读出。

三、实验原理

实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成CX(R1 R0)、DX(R3 R2)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通选择。RXW为寄存器数据写入使能,OP、DI为目的寄存器选择。DRCK信号为寄存器写脉冲,下降沿有效。准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。

图2-3-3通用寄存器数据通路

四、实验内容

2.寄存器的读写操作

①目的通路

当RXW=0时,由DI、OP编码产生目的寄存器地址,详见下表。

表2.3.5通用寄存器“手动/搭接”目的编码

②CX、DX寄存器的写入

通过“I/O输入输出单元”向CX、DX分别置数1122h、3344h,操作步骤如下:

③源通路

当X2 X1 X0=0 0 1时,由SI、XP编码产生源寄存器,详见下表。

表2.3.6通用寄存器“手动/搭接”源编码

④CX、DX寄存器的读出

五、实验结果

2.3.4地址总线组成实验

一、实验目旳

1.熟悉和了解地址总线的组成结构、地址来源及集合原理。

2.掌握程序段与数据段的寻址规则及地址部件的运用技巧。

二、实验要求

通过地址形成部件实验,建立“段”概念,学会“段”运用。

三、实验原理

地址总线的作用是传递地址信息,输出当前数据总线上发送信息的源地址或接收信息的目的地址。如下图所示本系统设有内存与外设两条地址总线,通过PC计数器提供内存(程序存储器)地址,并由地址寄存器AR传递内存(数据存储器)地址与外设地址。另外堆栈寄存器SP亦可视为地址寄存器,它的堆顶指向数据与程序指针存取地址。

图2-3-6地址总线组成通路

1.11位程序地址

如图2-3-6所示,本系统从提高信息存取效率的角度设计主内存地址通路,按现代计算机体系结构中最为典型的分段存取理念合成主存及外设地址总线addr,在指令操作“时段”(取操作码与取操作数),以当前程序指针PC为址,遇主存数据传递“时段”以当前数据指针AR为址。addr地址的合成通路见图2-3-6。其寻址范围为0~7FFh。

2.16位数据地址

如图2-3-6所示,本系统数据指针由地址锁存器AR直接提供,当LDAR=0时,在DRCK 下降沿把数据总线打入AR。其寻址范围为0~FFFFh,可达64KB。

四、地址部件电路

图2-3-7 地址部件控制电路

五、实验内容

1. 程序计数器实验

图2-3-7所示的PC 框由3片161构成按字方式寻址的11位PC 计数器,计数器的输入端与总线相连构成置数通路,计数器的输出端途经三态门缓冲分离为两条通路,其一与总线相连构成可读通路,其二与地址寄存器(数据)集合组成主存EM 地址总线。它的清零端由中央外理器单元直控,上电时PC 计数器自动淸零,

实验中按复位钮亦可实现计数器的手动淸零。

手控状态,本实验由表2.6.1定义的目的编码控

制PC 计数器的预置与加1操作,并以准双向I/O 部

件的S10~S0为计数器预置源。当IP=0时按单拍按

钮,遇E/M=0在脉冲下降沿把S10~S0的内容装入

PC 计数器;遇E/M=1在脉冲下降沿PC 计数器加1。

PC 计数器的读出操作由表2.3.7所列的源编码表定义。

表2.3.7 PC 程序计数器目标编码

说明:“↓”表示下降沿有效

2)

程序计数器PC 的写入、读出与加1 (1) PC 程序计数器的写入

通过“I/O 单元”开关向程序计数器PC 置数,操作步骤如下:

(2) PC 程序计数器的读出

在PC 置数操作完成后,按上流程中后两步的要求,关闭PC 写使能(K23、K22=11),打开PC 输出三态门(K10~K6=00000),数据总线单元应显示的PC 指针为0100h 。

(3) PC 程序计数器加1

在保持PC 置数与读出流程的状态下,令K22=0,按【单拍】按钮,在DRCK 节拍的下降沿PC 计数器加1并送数据总线,PC 程序计数器和数据总线单元的显示器应显示0101h 。继续按【单拍】按钮,观察PC 与数据总线的内容。

2、地址寄存器实验

图2-3-7所示的AR 框由2片74LS574锁存器构成按字方式寻址的16位数据指针,锁存器的输入端与总线相连构成置数通路,锁存器的输出端途经三态门缓冲分离与PC 计数器集合组成主存地址总线。它的清零端由中央外理器单元直控,上电时锁存器自动淸零,手动实验中按【返回】键亦可实现锁存器的手动淸零。按通用计算机设计规范的要求,把数据指针AR 定义为字写入寄存器,运用中局限于字写,字节写会引发数据指针的错误侵入,因此在数据指针AR 的操作过程中并非不支持而是不允字节写。

地址寄存器AR 打入

在手控/搭接态,数据指针AR 由W 、LDAR 及DRCK (CP 脉冲)三信号组合控制地址的置数操作。本实验以总线上准双向I/O 部件的S15~S0为置数源。当W=0、LDAR=0时按

3、堆栈寄存器实验

图2-3-7所示的SP 框由2片74LS574锁存器构成16位堆栈指针,锁存器的输入端与总线相连构成存数通路,锁存器的输出端途经三态门隔离与总线相连构成取数通路。它按先进后出的原则存放需要保留的数据信息与地址信息,在调用中断等突发事件处理中SP 指针以

K10~K6=10000

按【单拍】按钮

按【单拍】按钮 K19=0

间址方式把当前程序指针存入SP-2单元,遇返回指令SP又把栈项所指单元的内容装入程序计数器,然后SP+2退至原始位置。

在手控/搭接态,堆栈指针SP由W、SPW及DRCK(CP脉冲)三信号组合控制栈指针的置数操作。本实验以总线上准双向I/O部件的S15~S0为置数源。当W=0、SPW=0时按单柏钮,在脉冲下降把S15~S0的内容装入SP。

堆栈指针SP的读出操作由P8页表2.2所列的源编码表定义。

1)堆栈指针SP打入

拨动“I/O输入输出单元”开关向堆栈指针SP置数,具体操作步骤如下:

2)堆栈指针SP读出

关闭SP写使能,令SPW=1 按下流程完成SP送总线。

六、实验结果

按【单拍】按钮

2.3.5十六位数据总线实验

一、实验目旳

1.熟悉和了解总线的数据通路、双向互递原理及寻址方式与运用规则。

2.掌握十六位数据总线中“字”与“字节”操作方法及源与目的奇偶效应。

二、实验要求

通过总线的数据传递实验,建立“奇偶”概念,领会字寻址中对字节操作的动态定义。

三、实验原理

系统数据总线作为计算机传递信息的通道是连接各个功能部件的纽带,在计算机中起着至关重要的作用。模型机的工作过程就是计算机各个功能部件之间的信息,通过数据总线不断有序流动的过程。

图2-3-8 系统体系结构图

1.字与字节体系

本系统总线宽度为十六位,设有字长控位“W”,当W=0,由源寻址的奇偶性决定当前总线宽度,遇源址为偶时其字长宽度为十六位;当源址为奇或W=1时,字长宽度为八位,形成图2-3-9所示的奇(八位)与偶(八位)互通的字节总线。

图2-3-9 奇偶互通字节总线体系结构图

2.源奇偶的运用

图2-3-9所示,我们按原理计算机的设计规范,以字节为基准把十六位数据总线划分奇与偶俩路八位总线,其中“D15~D8”称为“奇总线”,“D7~D0”称为“偶总线”;在字节传递中由于总线的互通,形成“奇送偶”或“偶送奇”的八位字节总线,其使能端定义为低电平选通,逻辑表达式为:

G(偶字节)= !W(字长)# XP(源奇偶)

G(奇字节)= !XP(源奇偶)

从上俩式可知,图2-3-9所示的奇偶总线由字长“W”和源奇偶“XP”动态呈现以下三状态:

⑴在W=0时遇XP=0,由于G(偶字节)与G(奇字节)处隔离态“1”,形成“D15~D0”十六位字总线源。

⑵在W=1时遇XP=0,由于G(偶字节)为“0”,G(奇字节)“1”,形成“偶送奇‘的八位字节总线源。

⑶在XP=1时,由于G(奇字节)为“0”,G(偶字节)为“1”,无条件形成“奇送偶”的八位字节总线源。

3.目的奇偶的运用

在目的寻址中亦由字长控位“W”与目的地址的奇偶性动态定义当前目的字长。在W=0又遇目的址为偶时,其目的传递为字操作,否则均为字节传递,其逻辑表达式为:

!O(偶字节)= !OP(目的奇偶)

!O(奇字节)= !W(字长)# OP(目的奇偶)

上述俩式表明,由字长“W”和目的址奇偶“OP”动态产生以下三种目的寻址操作

⑴在W=0时遇OP=0,由于O(偶字节)与O(奇字节)均为“0”,执行以当前目的偶址为目标的字传递。

⑵在W=1时遇OP=0,由于O(偶字节)=“0”、O(奇字节)=“1”,执行以当前目的偶址为目标的字节传递。

⑶在OP=1时,由于O(奇字节)=“0”、O(偶字节)=“1”,无条件执行以当前目的奇址为目标的字节传递。

4.数据传递规则

系统在十六位原理计算机的字操作中动态地融入了字节操作的过程,其源奇偶映射总线宽度,而目的奇偶则制约传递长度。系统在十六位原理计算机的字节操作中运用总线互联机制,以源址的奇偶性形成“奇递偶”或“偶递奇”两者互通的八位字节总线。

表2.3.7十六位总线传递规则

说明:上表中“XP”与“OP”仅为原理计算机特定的专用寄存器奇偶标志,适用于AX、BX、SP及I/O的寻址场合;在存储器寻址中应以地址线“A0”为奇偶;在通用寄存器寻址中应从指令格式中所定义的“源与目的”字段动态索取奇偶标志。

四、数据传递实验

1.实验连线

2.十六位数据传送(字传递)

设置数据来源为I/O单元(X2 X1 X0=100),总线规则设为字传递(W XP OP=000),打开AX写使能(AXW(M17)=0),拨动“I/O输入输出单元”十六位数据开关,按【单拍】按钮,将I/O单元内容通过数据总线传递到AX寄存器,操作步骤如下:

3.低位到低位(偶送偶)

设置数据来源为I/O单元(X2 X1 X0=100),总线规则设为偶送偶(W XP OP=100),打开AX写使能(AXW(M17)=0),拨动“I/O输入输出单元”十六位数据开关,按【单拍】按钮,将I/O偶单元内容通过数据总线传递到AL寄存器,操作步骤如下:

4.低位到高位(偶送奇)

设置数据来源为I/O单元(X2 X1 X0=100),总线规则设为偶送奇(W XP OP=101),打开AX写使能(AXW(M17)=0),拨动“I/O输入输出单元”十六位数据开关,按【单拍】按钮,将I/O

K10~K6=10001

5.高位到低位(奇送偶)

设置数据来源为I/O单元(X2 X1 X0=100),总线规则设为奇送偶(W XP OP=X10),打开AX写使能(AXW(M17)=0),拨动“I/O输入输出单元”十六位数据开关,按【单拍】按钮,将I/O

K10~K6=1001X

6.高位到高位(奇送奇)

设置数据来源为I/O单元(X2 X1 X0=100),总线规则设为奇送奇(W XP OP=X11),打开AX写使能(AXW(M17)=0),拨动“I/O输入输出单元”十六位数据开关,按【单拍】按钮,将I/O

五、实验结果

计组实验报告

计算机组成原理实验报告 实验1:VERILOG 设计基础 专业班级:14级计算机二班 学号:14048001 姓名:杨娜 学号:14048003 姓名:周蓉 实验地点:理工楼901 实验时间:2016年5月14日

实验十VGA显示控制器的设计 一、实验目的 1、学习VERILOG的基本语法和编程规则 2、掌握通用寄存器等常用基本数字模块的VERILOG描述和基本设计方法 3、理解带使能控制和异步清零的8位寄存器的设计原理 4、掌握使用VERILOG设计和验证带使能控制和异步清零的8位寄存器的方法 5、掌握移位寄存器的设计方法 二、实验任务 1、设计一个带使能控制和异步清零的8位寄存器REG8X,实现8位输入的锁存,在时钟的上升沿处得到一个8位的输出和一个8位的反向输出,将结果显示在发光二极管。 模块的端口描述如下: 模块的参考物理结构如下: R7 R6 R i R 0 7 6 i 0 带使能控制和异步清零的8位寄存器 模块的使用注意事项

1.数据源D(7..0)一直加在寄存器的数据输入端; 2.周期性的时钟信号Clock一直加在寄存器的时钟输入端 3.使能信号Enable控制寄存器是否接受数据。当Enable = '0'时,寄存器不 接受数据,保持原来的状态不变;当Enable = '1'时,在时钟信号Clock正 跳变时,寄存器接受并保存当时D(7..0)的数据; 4.本寄存器其它方面的功能与上述的寄存器相同。 完成的参考电路图如下:dout=q 2、设计一个有左、右移位功能的8位寄存器REGSHIFT8,并仿真验证。

三、实验内容 1、通过输入数据先进行计算,并通过实验进行验证REG8X。 (1)、将清零信号Resetn(sw17)设为0,将输入信号D(sw7~sw0)设为10101010,观察输出信号Q(ledr7~ledr0)和Qb(ledg7~ledg0),观察并记录输出。 (2)、将清零信号Resetn(sw17)设为1,在时钟信号处输入一个上升沿(按下key0),观察并记录输出。 (3)、将输入信号D(sw7~sw0)设为01010101,观察并记录输出。 (4)、在时钟信号处输入一个上升沿(按下key0),观察并记录输出。 (5)、自行完善设计表格,观察并记录测试输出。 实验数据表 2、通过输入数据先进行计算,并通过实验进行验证REGSHIFT8。 (1)、测试清零信号Resetn (2)、测试移位功能 (3)、测试寄存功能 (4)、自行设计表格观察并记录测试输出。 实验数据表

测量学实验报告_1

测量学实验报告 测量学实验报告 测量学(又名测地学)涉及人类生存空间,及通过把空间区域列入统计(列入卡片索引),测设定线和监控来对此进行测定。它的任务从地形和地球万有引力场确定到卫土地测量学(不动产土地),土地财产证明,土地空间新规定和城市发展。 一、实验目的;由于测量学是一门实践性很强的学科,而测量实验对培养学生思维和动手能力、掌握具体工作程序和内容起着相当重要的作用。实习目的与要求是熟练掌握常用测量仪器(水准仪、经纬仪)的使用,认识并了解现代测量仪器的用途与功能。在该实验中要注意使每个学生都能参加各项工作的练习,注意培养学生独立工作的能力,加强劳动观点、集体主义和爱护仪器的教育,使学生得到比较全面的锻炼和提高.

测量实习是测量学理论教学和实验教学之后的一门独立的实践性教学课程,目的在于: 1、进一步巩固和加深测量基本理论和技术方法的理解和掌握,并使之系统化、整体化; 2、通过实习的全过程,提高使用测绘仪器的操作能力、测量计算能力.掌握测量基本技术工作的原则和步骤; 3.在各个实践性环节培养应用测量基本理论综合分析问题和解决问题的能力,训练严谨的科学态度和工作作风。 二、实验内容 步骤简要:1)拟定施测路线。选一已知水准点作为高程起始点,记为a,选择有一定长度、一定高差的路线作为施测路线。然后开始施测第一站。以已知高程点a作后视,在其上立尺,在施测路线的前进方向上选择适当位置为第一个立

尺点(转点1)作为前视点,在转点1处放置尺垫,立尺(前视尺)。将水准仪安置在前后视距大致相等的位置(常用步测),读数a1,记录;再转动望远镜瞄前尺读数b1,并记录 2)计算高差。h1=后视读数一前视读数=a1-b1,将结果记入高差栏中。然后将仪器迁至第二站,第一站的前视尺不动变为第二站的后视尺,第一站的后视尺移到转点2上,变为第二站的前视尺,按与第一站相同的方法进行观测、记录、计算。按以上程序依选定的水准路线方向继续施测,直至回到起始水准点bm1为止,完成最后一个测站的观测记录。 3)成果检核。计算闭合水准路线的高差闭合差;若高差闭合差超限,应先进行计算校核,若非计算问题,则应进行返工重测。 实习过程中控制点的选取很重要,控制点应选在土质坚实、便于保存和安置水准仪的地方,相邻导线点间应通视良好,便于测角量距,边长约60米至100米左右。我觉得我们组测量时就有一个点的通视不是很好,有树叶遮挡,但是那也没办法,因为那个地方的环境所致,幸好我们可以解决.还

杭电计组实验报告10

计组实验十 老师:包健 一、源代码测试模块代码: module Top( inputinclk, inputmem_clk, inputrst, outputreg[7:0] LED, input [3:0] SW ); wireclk; MyButtonmb( .clk_100MHz(mem_clk), .BTN(inclk), .BTN_Out(clk) ); wire [31:0] ALU_F; wire [31:0] M_R_Data; wire ZF; wire OF; wire [31:0]PC; My_I_CPUmy_i_cpu( .clk(clk), .mem_clk(mem_clk), .rst(rst), .ALU_F(ALU_F), .M_R_Data(M_R_Data), .ZFF(ZF), .OF(OF), .PC_out(PC) ); always@(*) begin case(SW) 4'd0:LED=ALU_F[7:0]; 4'd1:LED=ALU_F[15:8]; 4'd2:LED=ALU_F[23:16]; 4'd3:LED=ALU_F[31:24]; 4'd4:LED=M_R_Data[7:0];

4'd5:LED=M_R_Data[15:8]; 4'd6:LED=M_R_Data[23:16]; 4'd7:LED=M_R_Data[31:24]; 4'd8:LED={ZF,6'd0,OF}; 4'd12:LED=PC[7:0]; 4'd13:LED=PC[15:8]; 4'd14:LED=PC[23:16]; 4'd15:LED=PC[31:24]; default:LED=8'b0000_0000; endcase end endmodule 顶层模块代码: moduleMy_I_CPU( inputclk, inputmem_clk, inputrst, output [31:0] ALU_F, output [31:0] M_R_Data, output ZFF, output OF, output [31:0]PC_out ); //wire clk_n = ~clk; wire[31:0] codes; wire [31:0]PC_new; reg [31:0]PC; Inst_Fetch1 inst_fetch( .PC(PC), .rst(rst), .clk(clk), .Inst_codes(codes), .PC_new(PC_new) ); wire[5:0] OP; wire[5:0] func;

实验报告模板1(1)

湖北民族学院信息工程学院实验报告 (电气、电子类专业用) 班级:000000 姓名:00000 学号:0000000000000 实验成绩: 实验时间:2019年6月10日5-8节实验地点:自动控制原理实验室课程名称:电力电子技术与matlab仿真实验类型:设计型□验证型□综合型□实验题目:三相桥式全控整流及有源逆变电路 实验仪器:装有matlab软件的电脑一台

(1)交流电压源的参数设置 三相电源的相位互差120°,设置交流峰值相电压为100V、频率为60Hz。(2)负载的参数设置 H =C R Ω L , inf , 45= =

本实验中只要改变参数对话框的数值的大小,即改 变了触发信号的控制角。打开仿真 ode23tb 0.02s 启动仿真。 打开仿真/参数窗后,选择ode23tb 设置好各模块参数后,启动仿真;改变触发角 3、有源逆变带电阻电感性负载的仿真 (1)各模块参数设置同上

Continuous pow ergui v +- Ud alpha_deg AB BC CA Block pulses Synchronized 6-Pulse Generator Scope i +- Id i +-IC i +-IB i +- IA 0Constant2 30 Constant1 v +- CA C v +- BC B v +-AB A + RLC g A B C + - Bridge Iabc id ud Uabc 6pulse 2 时三相电压、三相电流、触发信号、负载电压和负载电流的波形

图 4=120时三相电压、三相电流、触发信号、负载电压和负载电流的波形图=150时三相电压、三相电流、触发信号、负载电压和负载电流的波形

计组-加法器实验报告

半加器、全加器、串行进位加法器以及超前进位加法器 一、实验原理 1.一位半加器 A和B异或产生和Sum,与产生进位C 2.一位全加器 将一位半加器集成封装为halfadder元件,使用两个半加器构成一位的全加器 3.4位串行进位加法器 将一位全加器集成封装为Fulladder元件,使用四个构成串行进位加法器

4.超前进位加法器(4位) ⑴AddBlock 产生并行进位链中的ti(即Cthis)和di(即Cpass),以及本位结果Sum ⑵进位链(Cmaker) 四位一组并行进位链,假设与或非门的级延迟时间为1.5ty,与非门的延迟时间为1ty,在di和ti产生之后,只需2.5ty就可产生所有全部进位

⑶超前进位加法器 将以上二者结合起来即可完成,A和B各位作为各个AddBlock的输入,低一位的进位Ci-1作为本位AddBlock的C-1的输入。各个AddBlock输出的C_this和C_pass作为对应的Cmaker的thisi和passi的输入。

二、实验器材 QuartusII仿真软件,实验箱 三、实验结果 1.串行进位加法器结果 2.超前进位加法器结果

四、实验结果分析 1.实验仿真结果显示串行加法器比超前进位加法器快,部分原因应该是电路结构优化 不到位。另外由于计算的位数比较少,超前进位加法链结构较复杂,所以优势没体现出来,反倒运作的更慢一点。当位数增加的时候,超前进位加法器会比串行的更快。 2.波形稳定之前出现上下波动,应该与“竞争冒险”出现的情况类似,门的延迟和路径 的不同导致了信号变化时到达的时间有先有后,因此在最终结果形成前出现了脉冲尖峰和低谷;另外也可能部分原因由于电路结构优化的不到位所致

测量学实验报告.

测量学实验报告 2018-09-06 测量学实验报告 测量学(又名测地学)涉及人类生存空间,及通过把空间区域列入统计(列入卡片索引),测设定线和监控来对此进行测定。它的任务从地形和地球万有引力场确定到卫土地测量学(不动产土地),土地财产证明,土地空间新规定和城市发展。 一、实验目的;由于测量学是一门实践性很强的学科,而测量实验对培养学生思维和动手能力、掌握具体工作程序和内容起着相当重要的作用。实习目的与要求是熟练掌握常用测量仪器(水准仪、经纬仪)的使用,认识并了解现代测量仪器的用途与功能。在该实验中要注意使每个学生都能参加各项工作的练习,注意培养学生独立工作的能力,加强劳动观点、集体主义和爱护仪器的教育,使学生得到比较全面的锻炼和提高. 测量实习是测量学理论教学和实验教学之后的一门独立的实践性教学课程,目的在于: 1、进一步巩固和加深测量基本理论和技术方法的理解和掌握,并使之系统化、整体化; 2、通过实习的全过程,提高使用测绘仪器的操作能力、测量计算能力.掌握测量基本技术工作的原则和步骤; 3.在各个实践性环节培养应用测量基本理论综合分析问题和解决问题的能力,训练严谨的科学态度和工作作风。 二、实验内容 步骤简要:1)拟定施测路线。选一已知水准点作为高程起始点,记为a,选择有一定长度、一定高差的路线作为施测路线。然后开始施测第一站。以已知高程点a作后视,在其上立尺,在施测路线的前进方向上选择适当位置为第一个立尺点(转点1)作为前视点,在转点1处放置尺垫,立尺(前视尺)。将水准仪安置在前后视距大致相等的位置(常用步测),读数a1,记录;再转动望远镜瞄前尺读数b1,并记录 2)计算高差。h1=后视读数一前视读数=a1-b1,将结果记入高差栏中。然后将仪器迁至第二站,第一站的前视尺不动变为第二站的后视尺,第一站的后视尺移到转点2上,变为第二站的前视尺,按与第一站相同的方法进行观测、记录、计算。按以上程序依选定的水准路线方向继续施测,直至回到起始水准点bm1为止,完成最后一个测站的观测记录。

2020立体构成实验报告模板(完整版)

报告编号:YT-FS-5891-23 2020立体构成实验报告 模板(完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

2020立体构成实验报告模板(完整 版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 立体构成的构成要素: 1、点的特征; 点型是形态中最初的元素,也是形态世界最小的表现极限,它在空间中呈飘浮状态,有长短,宽窄及运动方向,它是由各元素相互对应,相互比较而特定的,如随着点与块的缩小与扩大,它们之间互相的转换,对形态上造型语言的不同会在心理上产生不同的感受,如角状点型,有强烈的冲击力,曲状点型则有柔和的飘浮感。其表现形式无限多,或方或圆或角或其他任何形状,还可有实心与空心的变化。 2、线的特征: 线存在于点的移动轨迹,面的边界以及面与面的

交界或面的断、切、截取处,具有丰富的形状和形态,并能形成强烈的运动感。线从形态上可分为直线(平线,重直线,斜线和折线等)和曲线(孤线,螺旋线,抛物线,双曲线及自由线)两大表。 a、直线垂直线斜线的 b、曲线 几何曲线能表达饱满,有弹性、严谨,理智,明确的现代感觉,同时也有机械的冷漠感,自由曲线是一种自然的、优美的、跳跃的线型,能表达丰阔、圆阔、柔和、富有人情味的感觉,同时也有强烈的活动感和流动感,例如大自然中闪电形成的自由曲线。 3、面的特征: 面作为构成空间的基础之一具有强烈的方向感,面的不同组合方式可以构成千变万化的空间形态。面在空间形态上可分为平面和曲面两种形态,平面有规律平面和不规律平面,曲面有规律曲面和不规律曲面。圆形总是封闭的,具有饱满的,肯定的和统一的效果,能表现流动、运动、和谐、柔美的感觉不规则面的基本形是指一些毫无规律的自由形态。

计组-4位乘法器实验报告

实验4位乘法器实验报告 姓名:X XX 学号:X XX 专业:计算机科学与技术课程名称:计算机组成同组学生姓名:无 实验时间:实验地点:指导老师:XXX 一、实验目的和要求 1.熟练掌握乘法器的工作原理和逻辑功能 二、实验内容和原理 实验内容: 根据课本上例3-7的原理,来实现4位移位乘法器的设计。 具体要求:1. 乘数和被乘数都是4位 2. 生成的乘积是8位的 3. 计算中涉及的所有数都是无符号数 4.需要设计重置功能 5.需要分步计算出结果(4位乘数的运算,需要四步算出结果) 实验原理: 1.乘法器原理图

2.本实验的要求: 1.需要设计按钮和相应开关,来增加乘数和被乘数 2.每按一下M13,给一个时钟,数码管的左边两位显示每一步的乘 积 3.4步计算出最终结果后,LED灯亮,按RESET重新开始计算 三、主要仪器设备 1.Spartan-III开发板1套 2.装有ISE的PC机1台 四、操作方法与实验步骤 实验步骤: 1.创建新的工程和新的源文件 2.编写verilog代码(top模块、display模块、乘法运算模块、去抖动模块以及 UCF引脚) 3.进行编译 4.进行Debug 工作,通过编译。

5.. 生成FPGA代码,下载到实验板上并调试,看是否与实现了预期功能 操作方法: TOP: module alu_top(clk, switch, o_seg, o_sel); input wire clk; input wire[4:0] switch; output wire [7:0] o_seg; // 只需七段显示数字,不用小数点 output wire [3:0] o_sel; // 4个数码管的位选 wire[15:0] disp_num; reg [15:0] i_r, i_s; wire [15:0] disp_code; wire o_zf; //zero detector initial begin i_r <= 16'h1122; //0x1122 i_s <= 16'h3344; //0x3344 end alu M1(i_r, i_s, switch[4:2], o_zf, disp_code); display M3(clk, disp_num, o_seg, o_sel); assign disp_num = switch[0]?disp_code:(switch[1] ? i_s : i_r); endmodule

实验报告格式模板

实验报告格式模板 实验报告的书写是一项重要的基本技能训练。它不仅是对每次实验的总结,更重要的是它可以初步地培养和训练学生的逻辑归纳能力、综合分析能力和文字 表达能力,是科学论文写作的基础。因此,参加实验的每位学生,均应及时认真地书写实验报告。要求内容实事求是,分析全面具体,文字简练通顺,誊写清楚整洁。 实验报告内容与格式 (一)实验名称 要用最简练的语言反映实验的内容。如验证某程序、定律、算法,可写成“验证XXX” ;分析XXX。 (二)所属课程名称 (三)学生姓名、学号、及合作者 (四)实验日期和地点(年、月、日) (五)实验目的 目的要明确,在理论上验证定理、公式、算法,并使实验者获得深刻和系统的理解,在实践上,掌握使用实验设备的技能技巧和程序的调试方法。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 (六)实验内容 这是实验报告极其重要的内容。要抓住重点,可以从理论和实践两个方面考虑。这部分要写明依据何种原理、定律算法、或操作方法进行实验。详细理论计算过程? (七)实验环境 实验用的软硬件环境(配置)。 (八)实验步骤 只写主要操作步骤,不要照抄实习指导,要简明扼要。还应该画出实验流程图(实验装置的结构示意图),再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。

(九)实验结果 实验现象的描述,实验数据的处理等。原始资料应附在本次实验主要操作者的实验报告上,同组的合作者要复制原始资料。 对于实验结果的表述,一般有三种方法: 1.文字叙述:根据实验目的将原始资料系统化、条理化,用准确的专业术语客观地描述实验现象和结果,要有时间顺序以及各项指标在时间上的关系。 2.图表:用表格或坐标图的方式使实验结果突出、清晰,便于相互比较, 尤其适合于分组较多,且各组观察指标一致的实验,使组间异同一目了然。每一图表应有表目和计量单位,应说明一定的中心问题。 3.曲线图应用记录仪器描记出的曲线图,这些指标的变化趋势形象生动、直观明了。 在实验报告中,可任选其中一种或几种方法并用,以获得最佳效果。 (十)讨论 根据相关的理论知识对所得到的实验结果进行解释和分析。如果所得到的实验结果和预期的结果一致,那么它可以验证什么理论?实验结果有什么意义?说明了什么问题?这些是实验报告应该讨论的。但是,不能用已知的理论或生活经验硬套在实验结果上;更不能由于所得到的实验结果与预期的结果或理论不符而随意取舍甚至修改实验结果,这时应该分析其异常的可能原因。如果本次实验失败了,应找出失败的原因及以后实验应注意的事项。不要简单地复述课本上的 理论而缺乏自己主动思考的内容。 另外,也可以写一些本次实验的心得以及提出一些问题或建议等。 (十-)结论 结论不是具体实验结果的再次罗列,也不是对今后研究的展望,而是针对这一实验所能验证的概念、原则或理论的简明总结,是从实验结果中归纳出的一般性、概括性的判断,要简练、准确、严谨、客观。 (十二)鸣谢(可略) 在实验中受到他人的帮助,在报告中以简单语言感谢. (十三)参考资料 详细列举在实验中所用到的参考资料. 格式: 作者年代书名及页数出版社

测量学实验报告文档

测量学实验报告文档 Survey experiment report document 编订:JinTai College

测量学实验报告文档 小泰温馨提示:实验报告是把实验的目的、方法、过程、结果等记录下来,经过整理,写成的书面汇报。本文档根据实验报告内容要求展开说明,具有实践指导意义,便于学习和使用,本文下载后内容可随意修改调整及打印。 测量学(又名测地学)涉及人类生存空间,及通过把空间区域列入统计(列入卡片索引),测设定线和监控来对此进行测定。它的任务从地形和地球万有引力场确定到卫土地测量学(不动产土地),土地财产证明,土地空间新规定和城市发展。 一、实验目的;由于测量学是一门实践性很强的学科,而测量实验对培养学生思维和动手能力、掌握具体工作程序和内容起着相当重要的作用。实习目的与要求是熟练掌握常用测量仪器(水准仪、经纬仪)的使用,认识并了解现代测量仪器的用途与功能。在该实验中要注意使每个学生都能参加各项工作的练习,注意培养学生独立工作的能力,加强劳动观点、集体主义和爱护仪器的教育,使学生得到比较全面的锻炼和提高. 测量实习是测量学理论教学和实验教学之后的一门独立的实践性教学课程,目的在于:

1、进一步巩固和加深测量基本理论和技术方法的理解和 掌握,并使之系统化、整体化; 2、通过实习的全过程,提高使用测绘仪器的操作能力、 测量计算能力.掌握测量基本技术工作的原则和步骤; 3.在各个实践性环节培养应用测量基本理论综合分析问 题和解决问题的能力,训练严谨的科学态度和工作作风。 步骤简要: 1)拟定施测路线。选一已知水准点作为高程起始点,记 为a,选择有一定长度、一定高差的路线作为施测路线。然后 开始施测第一站。以已知高程点a作后视,在其上立尺,在施测路线的前进方向上选择适当位置为第一个立尺点(转点1)作为前视点,在转点1处放置尺垫,立尺(前视尺)。将水准仪安置在前后视距大致相等的位置(常用步测),读数 a1,记录;再转动望远镜瞄前尺读数b1,并记录 2)计算高差。h1=后视读数一前视读数=a1-b1,将结果 记入高差栏中。然后将仪器迁至第二站,第一站的前视尺不动变为第二站的后视尺,第一站的后视尺移到转点2上,变为第二站的前视尺,按与第一站相同的方法进行观测、记录、计算。

计组实验二实验报告-80868088指令系统

HUNAN UNIVERSITY 课程实习报告 题目: 8086/8088指令系统 学生姓名 学生学号 专业班级计算机科学与技术2班 指导老师 完成日期2013年4月21日

一、实验目的 利用debug工具的e和u功能找出8086/8088指令系统的指令格式中各种操作吗编码对应的指令功能,得到8086/8088操作吗从00~FF所对应的的汇编指令的名字。并加以分析总结,形成你的关于8086/8088指令系统操作码编码方法的实验报告。 二、实验过程 1、编写C++程序,生成debug的输入文件(shuru.txt) 2、将shuru.txt复制到debug的根目录下,在debug界面输入如下指令“debug out.txt”,在debug的根目录下,生成了输出文本文件out.txt。 打开out.txt,对256条记录进行整理,如下所示。 3、固定前两位为00,将第二字节从00变为ff,观察汇编指令变化。编写C++程序如下: 4、将shuru2.txt复制到debug的根目录下,在debug界面输入如下指令“debug out2.txt”,在debug的根目录下,生成了输出文本文件out2.txt。

打开out2.txt,对256条记录进行分析。 三、256条记录 指令码汇编指令 ------------------------------------------------------------- 0B76:0100 0000 ADD [BX+SI],AL 0B76:0100 0100 ADD [BX+SI],AX 0B76:0100 0200 ADD AL,[BX+SI] 0B76:0100 0300 ADD AX,[BX+SI] 0B76:0100 0400 ADD AL,00 0B76:0100 050000 ADD AX,0000 0B76:0100 06 PUSH ES 0B76:0100 07 POP ES 0B76:0100 0800 OR [BX+SI],AL 0B76:0100 0900 OR [BX+SI],AX 0B76:0100 0A00 OR AL,[BX+SI] 0B76:0100 0B00 OR AX,[BX+SI] 0B76:0100 0C00 OR AL,00 0B76:0100 0D0000 OR AX,0000 0B76:0100 0E PUSH CS 0B76:0100 0F DB 0F 0B76:0100 1000 ADC [BX+SI],AL 0B76:0100 1100 ADC [BX+SI],AX 0B76:0100 1200 ADC AL,[BX+SI] 0B76:0100 1300 ADC AX,[BX+SI] 0B76:0100 1400 ADC AL,00 0B76:0100 150000 ADC AX,0000 0B76:0100 16 PUSH SS 0B76:0100 17 POP SS 0B76:0100 1800 SBB [BX+SI],AL 0B76:0100 1900 SBB [BX+SI],AX 0B76:0100 1A00 SBB AL,[BX+SI] 0B76:0100 1B00 SBB AX,[BX+SI] 0B76:0100 1C00 SBB AL,00 0B76:0100 1D0000 SBB AX,0000 0B76:0100 1E PUSH DS 0B76:0100 1F POP DS 0B76:0100 2000 AND [BX+SI],AL 0B76:0100 2100 AND [BX+SI],AX 0B76:0100 2200 AND AL,[BX+SI] 0B76:0100 2300 AND AX,[BX+SI] 0B76:0100 2400 AND AL,00 0B76:0100 250000 AND AX,0000

测量学实验报告

测量学C实验 指导书 班级: 学号: 组别: 姓名:

实验须知 实验是配合课堂教学的一个重要教学环节,同时也是培养学生掌握实验的基本技能和进行基本训练的一个主要手段,为了保证实验的顺利进行,必须注意下列事项: 1、实验之前,希望同学们要预习实验指导书,了解本次实验的目的,原理和要求: 2、严格按操作步骤认真操作,实验报告要客观、详细记录实验步骤,实验成果等。 3、爱护实验仪器,非本次实验用的仪器或虽是本次实验所用的仪器,但在老师没有讲解之前都不得随便乱动,以免损坏仪器; 4、实验中不慎损坏仪器或丢失仪器中的附件,均应主动地告诉老师,按照有关规定处理;

目录 实验一水准仪的使用 (1) 实验二经纬仪的使用 (5) 实验三碎部测量 (12)

实验一水准仪的使用 (1)水准仪的使用 一、目的 1、了解DS3级水准仪的构造及各部分的名称和作用 2、掌握水准仪使用的基本操作 3、练习水准尺读数 二、要求 实验学时安排为2学时,每人安置2~3次水准仪,读尺4~5次。 三、仪器及工具 每组:水准仪一台、水准尺一把、记录板一块。 四、预习内容 水准测量的仪器及工具,水准仪的使用 五、实验步骤 1、安置水准仪:测量仪器所安置的地点称为测站。打开三脚架,使其高度适中,架头大致水平,牢固地架设在地面上。然后打开仪器箱(记清仪器各部件位置,以便装箱时按原来位置放置),双手握基座取出仪器,放在三脚架上,用连接螺旋将水准仪固连在三脚架上。用手推一下仪器,检查仪器是否真正连接牢固。 2、熟悉仪器:认识水准仪构造及各部分的名称、作用。 3、粗略整平: (1)置圆气泡于两脚螺旋之间(或于一脚螺旋上方),转动这两个脚螺旋使圆气泡在这两脚螺旋方向居中(气泡移动方向与左手大姆指旋转方向一致)。 (2)转动第三个脚螺旋使圆气泡居中,反复练习几次。 4、瞄准对光: (1)将望远镜对向明亮的背景(白墙或白纸),转动目镜对光螺旋使十字丝看得非常清晰。 (2)松开制动螺旋,用镜筒上的准星瞄准水准尺(立水准尺在离水准仪约30米处),拧紧制动螺旋。 (3)转动物镜对光螺旋,使水准尺的像十分清晰,然后眼睛在目镜上下作微小移动,观察水准尺与十字丝面是否有相对移动。若有,则存在视差,为此,可反复调节对光螺旋,直到视差消除为止。 (4)旋转微动螺旋,使水准尺的象靠近十字丝的纵丝。

计组实验报告.

武汉大学计算机学院计算机科学与技术专业 CPU设计实验报告 实验名称:开放式实验CPU设计课题名称: 计算机组成原理 班级: 指导教师:徐爱萍 组长: 组员: 二零一五年三月

目录 目录 (1) 1 实验环境 (2) 1.1 Quartus Ⅱ介绍 (2) 1.2 硬件描述语言(VHDL) (3) 1.3实验的主要成果 (3) 2 实验要求 (5) 2. 1 指令格式要求 (5) 2. 2 指令流程及微信号序列分析 (6) 2.2.1 ADD指令分析 (6) 2.2.2 ADC指令分析 (7) 2.2.3 SUB指令分析 (7) 2.2.4 SBC指令分析 (7) 2.2.5 INC指令分析 (7) 2.2.6 DEC指令分析 (8) 2.2.7 SHL指令分析 (8) 2.2.8 SHR指令分析 (8) 2.2.9 MOVR指令分析 (8) 2.2.10 MOVD指令分析 (9) 2.2.11 LDRR指令分析 (9) 2.2.12 STRR指令分析 (10) 2.2.13 JMP指令分析 (10) 2.2.14 JRC指令分析 (11) 2.2.15 JRZ指令分析 (11) 2.2.16 JRS指令分析 (11) 2.2.17 CLC指令分析 (11) 2.2.18 STC指令分析 (11) 3.部件仿真实验 (11) 3.1 八个通用寄存器设计与仿真 (11) 3.1.1 设计代码 (11) 3.1.2 RTL连接图 (17) 3.1.3 仿真过程 (17) 3.2算术逻辑单元设计与仿真 (18) 3.2.1 设计代码 (18) 3.2.2 RTL连接图 (21) 3.2.3 仿真过程 (22) 4. CPU设计 (23) 4.1取指设计 (23) 4.2指令译码的设计 (25) 4.3执行部分设计 (28) 4.4存储器部分设计 (31) 4.5通用寄存器组设计 (32)

实验报告通用模板文档

2020 实验报告通用模板文档Contract Template

实验报告通用模板文档 前言语料:温馨提醒,报告一般是指适用于下级向上级机关汇报工作,反映情况,答复上级机关的询问。按性质的不同,报告可划分为:综合报告和专题报告;按行文的直接目的不同,可将报告划分为:呈报性报告和呈转性报告。体会指的是接触一件事、一篇文章、或者其他什么东西之后,对你接触的事物产生的一些内心的想法和自己的理解 本文内容如下:【下载该文档后使用Word打开】 实验报告是把实验的目的、方法、过程、结果等记录下来,经过整理,写成的书面汇报。以下是整理的实验报告通用模板,欢迎阅读! 心理学实验报告 1.教学目的测定各种彩色视野的范围以及盲点的位置,学习使用视野计 2.实验程序 2―1准备工作。 2―1―1准备好视野图纸、彩色铅笔(红、黄、蓝、绿)、单眼罩。把视野图纸放在视野计视野计 上相应的地方,学习在图纸上作记录的方法。 记录时与被试反应的左右、上下方位相反。 2―1―2被试用右眼罩招右眼遮起来(只测左眼),把下巴放在支架上,调好距离。眼睛与支架

靠近后,保持头部位置不变。被试用左眼注视正前方的白光点。要求被试发现视野中彩色出现或 消失就报告,被试视线要始终注视视野弧正中的白点,要求只用眼睛的余光去看彩色光点是否出 现或消失。 2―l―3测定过程中,视野弧的位置可分别为900、450、1350和1800等不同角度。 2―2正式实验。 2―2―I主试将视野计弧轨故到水平位置上.把一个红色刺激点投在弧轨右边靠近注视点处, 主试将红色刺激由内慢慢向外移动,直到被试看不到红色为止,把这时红色刺激所在位置记下来, 然后主试再把红色刺激从员外例向注视点移动到被试刚刚看到红色为止,记下刺激所在位置的角 度,取两次的平均致,在视野图纸上图点。还有一点应注意,当进行右边实验时红色刺激由内向 外或由外向内时,会出现红色突然消失和再现的现象,红色突然消失和再现的位置就是盲点的位 置,将盲点位置也记录在图纸上。 2―2―2再把视野弧轨放到下列位置测定红色视野的范围:900、450、1350(与水平交角)以及 其他不同角度。 2―2―3按上述测红色视野的程序分别测定黄、绿、蓝、白

计算机组成原理实验报告二

实验二存储器实验 一、实验目的 熟悉和了解存储器组织与总线组成的数据通路。 二、实验原理 存储器是计算机的存储部件,用于存放程序和数据。存储器是计算机信息存储的核心,是计算机必不可少的部件之一,计算机就是按存放在存储器中的程序自动有序不间断地进行工作。本系统从提高存储器存储信息效率的角度设计数据通路,按现代计算机中最为典型的分段存储理念把存储器组织划分为程序段、数据段等,由此派生了数据总线(DBUS)、指令总线(IBUS)、微总线( BUS)等与现代计算机设计规范相吻合的实验环境。该存储器组织由二片6116构成具有奇偶概念的十六位信息存储体系,该存储体系AddBus由PC指针和AR 指针分时提供,E/M控位为“0”时选通PC,反之选通AR。该存储体系可随机定义总线宽度,动态变更总线结构,把我们的教学实验提高到能与现代计算机设计规范相匹配与接轨的层面。 连线信号孔接入孔作用有效电平 1 DRCK CLOCK 单元手动实验状态的时钟来源下降沿打入 2 W K6(M6) 总线字长:1=16位字操作,0=8位字节操作 3 XP K7(M7) 源部件奇偶标志:1=偶寻址,0=奇寻址 4 X2 K10(M10) 源部件定义译码端X2 三八译码 八中选一5 X1 K9(M9) 源部件定义译码端X1

四、实验过程 1. 存储器数据段读写操作 (1) 数据段写操作(字) 在进行数据存储器字操作时,地址线A0必须为0(偶地址)。向数据段的0000~0005h 存储单元写入11 22 33 44 55 66一串数据,以0000h 地址单元写入数据1122h 为例表述操作流程。 置地址I/O=0000h AR 地址写入(0000h)置数据I/O=1122h 存储器写入(1122h)关存储器写X2 X1 X0=011XP W=11 LDAR(K17)=0 MWR(K21)=1按[单拍] E/M(K23)=1LDAR(K17)=1按[单拍] MWR(K21)=0 (2) 数据段读操作(字) 依次读出数据段0~0005h 单元的内容,这里以0000h 地址单元读出为例阐述操作流程。 置地址I/O=0000h AR 地址写入(0000h)关AR 写使能存储器读出(1122h)数据总线显示存储器值 X2 X1 X0=011XP W=11 LDAR(K17)=0 E/M(K23)=1LDAR(K17)=1按 [单拍] X2 X1 X0=100W=1 2. 存储器程序段读写操作 (1) 程序段字节写操作 计算机规范的取指操作均以字节为单位。所以本实验以字节操作方式展开。程序段写入必须从定义地址入手,然后再进入程序存储器的写入。 PC 指针是带预置加法计数器,因此在输入起始地址后一旦后续地址为PC+1的话就不需重装PC ,用PC+1指令完成下续地址的读写操作。 PC 地址装载写入与PC+1写入流程 置地址I/O=0000h PC 地址写入(0000h)I/O=1234h 12h →[PC]地址增量PC+1关存储器写X2 X1 X0=011XP W=11 E/M(K23)=0LDPC(K22)=0MWR(K21)=1W=0,按[单拍] LDPC(K22)=1MWR(K21)=0 E/M(K23)=1LDPC(K22)=1按[单拍] LDPC(K22)=0MWR(K21)=1XP=0,按[单拍] (2) 程序段字节读操作 PC 地址装载读出及PC+1读出流程 X2 X1 X0=011XP W=11 E/M(K23)=0LDPC(K22)=0X2 X1 X0=100XP=1, W=0 LDPC(K22)=1按[单拍] E/M(K23)=1LDPC(K22)=1按[单拍] 按[单拍] 五、结果分析

计组实验报告--部分

2、设计报告 2、1实验方法 本实验要完成的工作主要包括: 1、指令系统的设计 2、利用VHDL语言完成实验CPU的设计,包括通用寄存器的设计、取值部分设计、指令译 码设计、执行设计、存储器设计、程序包设计和顶层设计设计 3、在Quatus II 平台上进行仿真,并下载到TEC-CA教学实验箱上进行调试。 这三大部分为并行关系,只有在完成上一部分的基础上才能继续进行下一步,而第二大部分可以同时并行进行。 实验的主要流程图为图2.1所示。 图2.1

在指令系统和CPU逻辑设计时,主要的方法是先根据老师给的指令要求,确定CPU所要实现的功能,根据寄存器等的情况划分指令格式,然后根据功能写出指令,根据不同指令的特点将它们分组并确定操作码;接下来设想每条指令的执行过程,需要哪些硬件支持,最后确定整个CPU的逻辑结构图。 2、2总体说明 2.2.1 CPU组成部件 实验CPU由5部分组成:取指部分instru_fetch、指令译码部分decoder_unit、执行部分exe_unit、存储器部分memory_unit和通用寄存器组fegile.另外,还有一个程序包exe_cpu_components,将各底层设计实体作为元件存储,供各设计实体使用。顶层设计实体exe_cpu完成5个组成部分的链接。 GR(8位,4个寄存器),ALU(8位),时序节拍发生器timer,AR(8位),IR(8位),PC(8位)、PC(8位),RAM(8位),组合期间T1,T2,T3。逻辑控制器件controller,地址总线(8位),数据总线(8位)。 2、2、2整机原理实验图

图2.2.1 图2.2.2

测量学实验报告范本

测量学实验报告 Record the situati on and less ons lear ned, find out the exist ing p roblems and form future coun termeasures. 名: 位: 间:

编号:FS-DY-20114 测量学实验报告 i说明:本报告资料适用于记录基本情况、过程中取得的经验教训、发现存在的问题 I I i以及形成今后的应对措施。文档可直接下载或修改,使用时请详细阅读内容。 I ! ____________________________________________________________________________ 测量学实验报告 测量学(又名测地学)涉及人类生存空间,及通过把空 间区域列入统计(列入卡片索引),测设定线和监控来对此进行测定。它的任务从地形和地球万有引力场确定到卫土地测量学(不动产土地),土地财产证明,土地空间新规定和城市发展。 、实验目的;由于测量学是一门实践性很强的学科,而 测量实验对培养学生思维和动手能力、掌握具体工作程序和内容起着相当重要的作用。实习目的与要求是熟练掌握常用测量仪器(水准仪、经纬仪)的使用,认识并了解现代测量仪器的用途与功能。在该实验中要注意使每个学生都能参加各项工作的练习,注意培养学生独立工作的能力,加强劳动观点、集体主义和爱护仪器的教育,使学生得到比较全面的锻炼和提高.

测量实习是测量学理论教学和实验教学之后的一门独 立的实践性教学课程,目的在于: 1、进一步巩固和加深测量基本理论和技术方法的理解 和掌握,并使之系统化、整体化; 2、通过实习的全过程,提高使用测绘仪器的操作能力、 测量计算能力.掌握测量基本技术工作的原则和步骤; 3.在各个实践性环节培养应用测量基本理论综合分析问 题和解决问题的能力,训练严谨的科学态度和工作作风。 、实验内容 步骤简要:1)拟定施测路线。选一已知水准点作为高程 起始点,记为a,选择有一定长度、一定高差的路线作为施 测路线。然后开始施测第一站。以已知高程点a作后视,在其上立尺,在施测路线的前进方向上选择适当位置为第一个立尺点(转点1)作为前视点,在转点1处放置尺垫,立尺 (前视尺)。将水准仪安置在前后视距大致相等的位置(常用 步测),读数a1,记录;再转动望远镜瞄前尺读数b1,并记2)计算高差。h1=后视读数一前视读数=a1-b1,将结果记

计算机组成原理实验报告模板

专业:计算机科学与技术班级: 学号: 姓名: 电话: 邮件: 完成日期:20xx 计算机组成原理·实验报告· 计算机科学与技术学院

目录 1数据表示实验 (3) 1.1设计要求 (3) 1.2方案设计 (4) 1.3实验步骤 (5) 1.4故障与调试 (5) 1.5测试与分析 (6) 2运算器实验 (8) 2.1设计要求 (8) 2.2方案设计 (9) 2.3实验步骤 (10) 2.4故障与调试 (10) 2.5测试与分析 (11) 3存储器实验 (13) 3.1设计要求 (13) 3.2方案设计 (14) 3.3实验步骤 (15) 3.4故障与调试 (15) 3.5测试与分析 (16) 4CPU实验 (18) 4.1设计要求 (18) 4.2方案设计 (19) 4.3实验步骤 (20) 4.4故障与调试 (20) 4.5测试与分析 (21)

5总结与心得 (23) 5.1实验总结 (23) 5.2实验心得 (23) 参考文献 (24)

1 数据表示实验 实验报告选择两次实验撰写,其中 CPU设计实验报告内容全体都要写(重点) 1-4班另加数据表示实验 5-6班ACM 卓越物联网另加运算器实验 7-10班另加存储系统实验 请仔细阅读所有的批注,阅读理解后删除批注 模板各个标题下面的内容仅是举例,作者应依照自己思想重写该部分内容 1.1 设计要求 利用logisim平台中现有运算部件构建一个32位运算器,可支持算数加、减、乘、除,逻辑与、或、非、异或运算、逻辑左移、逻辑右移,算术右移运算,支持常用程序状态标志(有符号溢出OF、无符号溢出CF,结果相等Equal),运算器功能以及输入输出引脚见下表,在主电路中详细测试自己封装的运算器。 表 1.1 片引脚与功能描述 引脚输入/输出位宽功能描述 X输入32操作数X Y输入32操作数Y ALU_OP输入4运算器功能码,具体功能见下表 Result输出32ALU运算结果 Result2输出32ALU结果第二部分,用于乘法指令结果高 位或除法指令的余数位,其他操作为零 OF输出1有符号加减溢出标记,其他操作为零 CF输出1无符号加减溢出标记,其他操作为零 Equal输出1Equal=(x==y)?1:0, 对所有操作有效

相关文档