文档库 最新最全的文档下载
当前位置:文档库 › 数字电路试题

数字电路试题

数字电路试题
数字电路试题

1、将下列二进制数分别转换为八进制数、十六进制数。

(101011101)2 (111010111)2

八进制:535

727

十六进制:15D

1D7

2、试证明下列关系成立: (1)12131213X X X X X X X X ⊕=+ (2)若X 1+X 2=1,则有1212X X X X ⊕= (3)若X 1X 2=0,则有1212X X X X ⊕=+

3、用代数法化简:C

AB C B BC A AC F +++=1

D

C B BC C A B A C B

D C B A F ++++++=)(2

4、如图所示均为TTL 门电路,输入A 、B 、C 波形如下,画输出波形。

5、画出图中所示门电路的输出波形。输入波形如图所示。

6、用3线-8线译码器和门电路设计如下多输出函数。

AC F=

1;C

B

C

B

A

C

B

A

F+

+

=

1

7、D触发器逻辑符号如下图所示,用适当的逻辑门,将D触发器转换成JK触发器和T触发器。(要求写出过程)

8、用同步十进制计数器芯片74LS160设计一个七十六进制的计数器。要求各位间为十进制关系,级间同步,且采用异步复位法。允许附加必要的门电路。74LS160的功能表及引脚图如下。

9、对于8位D/A转换器:

(1)若最小输出电压为0.02V,试问当输入代码为0111 0001时,输出电压VO为多少?

(2)若其分辨率用百分数表示是多少?

10、ROM有哪些种类?各有何特点?ROM与RAM的主要区别是什么?它们各适用于哪些场合?

11、2048×16及2048×32的ROM其地址线、字线、数据线分别是多少?

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字电子技术期末考试试卷

09级2011年数字电子技术考试试卷 开课学院:通信工程学院 一、填空题:(每空1分,共14分) 1、数制转换:,。 2、若A/D转换器(包括取样—保持电路)输入模拟电压信号的最高变化频率为10kHZ,则取样频率的下限为()。 3、正数的补码和它的()相同,负数的补码可通过将( )得到。 4、试列出3种输出端可以并联使用的门电路:()、()、()。 5、()和()是构成各种复杂数字系统的基本逻辑单元。 6、()和()是衡量A/D转换器和D/A转换器性能优劣的主要标志。 二、化简题:(每小题6分,共12分) (1)、用逻辑函数公式某法证明:

B’CD’+BC’D+ACD+A’BC’D’+A’B’CD+BC’D’+BCD=B’C+BC’+CD。 (2)、试用卡诺图法化简下式,要求画出卡诺图,并勾圈化简:。 三、由与非门构成的某表决电路如图1所示,其中ABCD表示4个人,L=1时表示决议通过。(共10分) (1)试分析电路,说明决议通过的情况有几种。 (2)分析ABCD四个人中,谁的权利最大。

图1 四、某逻辑函数的真值表如表1.2所示,试将74HC153扩展为8选1数据选择器,再实现该逻辑函数。74HC153的功能与逻辑符号分别见表1.1和图2。(共15分)

五、已知74LS138的逻辑符号见图3,逻辑功能见表2.试画出用两片74LS138组成4线-16线译码器的接线图,并说明设计原理。(共10分) 图3 表2、74LS138功能表 使能端选择输入端输出端 S1 A2 A1 A0 × 1 0 ×××× ××× 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

《数字电路实验讲义》word版

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。 (1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

数字电路实验问答题

实验一 (2)如何用万用表测量数字集成电路的好坏? 数字集成电路损坏分为两种情况,一种是彻底不能工作;另一种是工作不稳定,可靠性非常低。 用万用表主要测量其阻抗值,可以拿一只好的相同的IC比较,测试管脚到地的阻抗值;另外就是放到具体的电路中加上适当的电压测试各个管脚的电压或电平值;数字IC的范围非常广,拿一只单片机来讲,要判断其工作问题,还要用到示波器观察数据收发期间对应管脚上高低电平的变化,对于其他数字IC,可以测试并对应真值表来比较。由于IC应用不同,并没有一个归一化的方法,只有通过不断实践来完成整个电路的调试了。 (3)如何用示波器确定输入信号是直流还是交流? 答案一:示波器有交流输入和直流输入的转化按钮,如果选中直流按钮,测得的就是直流和交流的叠加信号(如果有交流信号);选中交流按钮,只能测得交流信号(不管信号是否有直流成分)。 如果用直流档和交流档测得的信号完全相同,则说明信号只有交流成分;若果直流档有信号,交流档测不到信号则说明只有直流成分没有交流成分;交直流都测得信号灯信号形状不同,则说明信号同时存在交直流成分。 答案二:先把示波器的“AC-GND-DC”置于GND位置,把参考点选在中间位置,再把“AC-GND-DC”置于DC位置,再进行测试,如果波形是在参考点中心线的上方或下方,那就是直流;如果在参考点中心线的上方和下方都有波形显示,那就是交流。特别提示:直流不一定就是直线, (4)如何用示波器测量电流信号? 使被测电流通过一个电阻(叫取样电阻),适当选取电阻值,使被测电流信号在该电阻上的压降达数十至数百毫伏,并使毫伏数,与电流值有便于运算的比例关系,之后,用示波器测量该电阻上的压降即可。 实验三 (2)与非门中多余端如何处理?

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

数字电路实验考试参考题目

数字电路实验考试参考题目 1.请采用两种方法(分别用与非门器件和数据选择器)设计一个三人表决器。 2.请采用两种方法(分别用与非门器件和数据选择器)设计一个四人表决器。 3.采用数据选择器(74LS151)设计完成下列逻辑函数: F1=A BC+A B D+B C D+AC D; F2=ABC+BCD+ACD+ABD 4.利用JK触发器设计一个异步四进制计数器(可采用74LS73),并用示波器观测电路输 入、输出波形。 5.设计一个模21的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 6.设计一个模22的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 7.设计一个模23的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 8.设计一个模24的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 9.设计一个模25的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 10.设计一个模20的计数器(可采用74LS390或74LS192等),用发光二极管观察电路的 所有有效计数状态;并用示波器观测计数器的输入输出端波形。 11.采用移位寄存器设计一个具有自启动功能的四位环形计数器,记录电路所有状态(包括 由偏离态进入有效循环的过程),并画出状态转移图。 12.设计一个具有自启动功能的、有效状态分别为1000,0100,0010,0001的四位右移环 形计数器。 13.设计一个具有自启动功能的、有效状态分别为0001,0010,0100,1000的四位左移环 形计数器。 14.设计一个具有自启动功能的、有效状态分别为1110,1101,1011,0111的四位左移环 形计数器。 15.设计一个具有自启动功能的、有效状态分别为1110,0111,1011,1101的四位右移环 形计数器。 16.设计一个具有自启动功能的、有效状态分别为1100,1001,0011,0110的四位左移环 形计数器。 17.设计一个具有自启动功能的、有效状态分别为1100,0110,0011,1001的四位右移环 形计数器。 18.采用2MHZ的晶体振荡器、与非门、电阻等器件设计一个晶体稳频多谐振荡电路,经 分频后,电路输出脉冲信号频率为1MHZ。 19.采用555定时器设计电路,要求输出一个频率为1KHZ的脉冲信号,并用示波器观测电 路输出波形。 20.采用大规模集成存储器、编程器、计数器等元件和设备,设计完成一个八路彩灯控制电 路。 (可能还有小范围调整,请大家继续关注网站通知)

数字电子技术试题库

数 字 电 子 技 术 2011年7月23日星期六

1 1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。 (2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1, K=1时,Q状态为翻转,即Q= Q’ -------------------------------------------------------------------- 2 : 已知Y=A+AB′+A′B,下列结果中正确的是() (2分) A:Y=A B:Y=B C:Y=A+B D:Y=A′+B′ 您选择的答案: 正确答案: C 知识点:利用公式A+AB′=A和A+A′B=A+B进行化简 -------------------------------------------------------------------- 3 : (1001111)2的等值十进制数是() (2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案: D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 -------------------------------------------------------------------- 4 : 图中为CMOS门电路,其输出为()状态 (2分) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变 -------------------------------------------------------------------- 5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=() (2分) A:A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D3 B:A1′A0′D0

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路期末考试试卷及答案

2010-2011学年度第一学期 09级电子技术基础(数字部分)期末考试试卷 一、填空题(本大题共15小题,每空1分,总计30分) 1、 (127)10= ( )2= ( ) 8421BCD。 2、5个变量可构成个最小项,全体最小项之和为。 3、基本逻辑运算有、、 3种。 4、描述逻辑函数各个变量取值组合和函数值对应关系的表格叫。 5、3线—8线译码器74LS138处于译码状态时,当输入A2A1A0=011时,输出= 。 6、对于T触发器,当T= 时,触发器处于保持状态。 7、某计数器的输出波形如图1所示,该计数器是进制计数器。 CP Q 1 Q 2 Q (图1) 8、触发器有个稳定状态,用来寄存和。这种无外加触发信号时能维持原态不变的功能称功能。在外加触发信号作用下,触发器状态。 9、要完成二进制代码转换为十进制数,应选择的电路是:。 10、所谓计数器,是指能和输入脉冲个数的逻辑部件,它是利用触发器的功能来实现的。 11、对于JK触发器,若J=K,则可完成触发器的逻辑功能;若K= J,则可完成触发器的逻辑功能。 12、加法器是用来完成二进制数的加法运算的,它分为和。 13、用表示某些特定含义的代码就称为编码;而把的过程称为,它是编码的逆过程。 14、一个十进制加法计数器需要由个JK触发器组成。 15、3位二进制计数器累计脉冲个数为;4位二进制计数器累计脉冲个数为。 二、单项选择题(本大题共10小题,每小题2分,总计20分) 1、要将方波脉冲的周期扩展16倍,可采用:。 A、16进制计数器 B、十位二进制计数器 2、能实现串行数据变换成并行数据的是:。 A、编码器 B、译码器 C、移位寄存器 D、二进制计数器3、构成4位寄存器应选用个触发器。 A、2 B、4 C、6 D、8 4、对于由3个D触发器组成的单向移位寄存器,3位串行输入数码全部输入寄存器并全部串行输出,则所需要的移位脉冲的数量为。 A、12 B、6 C、3 D、2 5、具有“置0”、“置1”、“保持原状”、“状态翻新”,被称为全功能的触发器的是。 A、D触发器 B、T触发器 C、JK触发器 D、同步RS触发器 6、在触发脉冲作用下,每来一个触发脉冲,触发器的状态就翻转一次的触发器是:。 A、D触发器 B、T,触发器 C、JK触发器 D、同步RS触发器 7、对于基本RS触发器,若S=R=0,则。 A、Q=Q=0 B、Q=Q=1 C、Q=1,Q=0 D、Q=1,Q=0 8、存储8位二进制信息要个触发器。 A、2 B、4 C、6 D、8 9、对于一个共阳极型数码管,若译码器输出送数码管驱动的abcdefg=0000110,则显示的字符为。 A、2 B、3 C、E D、F 10、在下列逻辑电路中,不是组合逻辑电路的是:。 A、译码器 B、运算放大器 C、全加器 D、编码器 三、问答及作图题(本大题共4小题,每小题8分,共32分) 1、触发器的描述方法有哪些?请列举其中四种。 2、异步计数器在结构上有何特点?同步计数器在结构上有何特点? 3、两个D触发器构成的电路如图二所示,设初态Q0=Q1=1,试画出在5个脉冲作用下Q0、 Q、Q1 、 1 Q端的输出波形。 CP (图二) Q Q Q1 CP 1 Q

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电子技术试卷试题答案汇总(完整版)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规 则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与 非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方 程 , 主从JK 触发器的特性方程 ,D 触发器的特性方 程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲 同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( )

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电路期末试卷

2015-2016年第一学年度 汕尾市职业技术学校电子线路期末考试(开卷) 班级___________ 姓名__________ 分数__________ 一选择题(本大题共十道小题,每小题2分) 1、模拟电路中晶体管大多工作于( )。 A.放大状态 B.截止状态 C.击穿状态 D.饱和状态 2、当逻辑函数有n个变量时,共有( )个变量取值组合? A. n B. 2n C. n2 D. 2n 3、十进制数25用8421BCD码表示为( )。 A、10 101 B、0010 0101 C、100101 D、100101 4、下列逻辑式中,正确的逻辑公式是( )。 A.A+B=A B B. A+B=A B + C. A+B=AB D. A+= 00 5、二输入端的与非门,其输入端为A、B,输出端为Y,则其表达式Y= ()。 A、AB B、AB C、B A+D、A+B 6、逻辑式A+BC=( )。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 7、辑电路如图示,其逻辑式为( )。 A.F=A+BC B、F=A B C ?+ C、F=A B C ++D、F=A·BC

1 1& ≥1 1F A B C 8、一个T触发器,在T=0时,加上时钟脉冲,则触发器()。 A、保持原态 B、置0 C、置1 D、翻转 9、欲对全班43个学生以二进制代码编码表示,最少需要二进制码的位数是 ()。 A、5 B、6 C、8 D、43 10、下列电路中,不属于组合逻辑电路的是( ) A.译码器B.全加器 C.寄存器 D.编码器 二填空题(本大题共十小题每小题2分) 1、数字信号的特点是在上和上都是断续变化的,其高电平和低电平 常用和来表示。 2、OC门的输出端可并联使用,实现________功能;三态门可用来实现 ______________。 3、(35)10 =()2 = ( )8421BCD 4、基本逻辑运算有________、________、________3种。 5、在RS、JK、T和D触发器中,_____触发器的逻辑功能最多。 6、组合电路由________________构成,它的输出只取决于_ ________________ 而与原状态无关。

东南大学数字电路实验考试——教务考试监考装置

数字电路期末考题二 一、教务处需要一考试监考装置:设定每场考试为2小时,假设一个时钟周期是10分钟,用两个数码管分别显示分钟的十位和小时的个位。当到半小时的时候,红灯亮持续10分钟后灭,提醒监考老师没来的考生不得入场,在场的考生可以交卷离开。当到1小时50分时,黄灯亮持续10分钟后灭,提醒监考老师考试时间将到,准备收卷。 要求: 1.简单写出设计过程,画出逻辑电路图(30分) 2.根据设计搭试电路(15分) 3.用单脉冲验证电路(由老师检查)(25分) 4.用双踪示波器或者逻辑分析仪观察并分别绘出输入时钟和分钟十位输出时的Q m2、Q m1、Q m0输出波形。(10分) 二、简答 几个三态门的输出端是否允许短接?有无条件限制,应注意什么问题? OC门的输出端是否允许短接,结果是什么?(20分) 页脚内容1

数字电路期末考题四(答案及评分标准) 1.简单写出设计过程,画出逻辑电路图(30分) 由题意,设时钟脉冲的周期为10分钟,则分钟部分可设计成模6计数器,整个监考装置是模12计数器,其功能见下表 页脚内容2

80001000100 90001001000 100001001100 110001010000 120001010101 130000000000逻辑电路图: 页脚内容3

评分:a、设计过程15分 b、逻辑电路图15分 2.电路接线符合基本规范,电源连接正确(15分); 3.用单脉冲验证电路(由老师检查)(25分) 4.波形记录符合规范(波形应注意相位对齐,并至少画满一个周期,方波的边沿一定要画出):波形描述正确且相位对齐8分(每个波形2分)方波边沿画出2分 CLK Qm2 Qm1 Qm0 二、简答题: 几个三态门的输出端允许短接,但有条件限制,不能同时有两个或两个以上三态门的控制端处于使能状态。(10分) OC门的输出端允许短接,但要在输出端接一个合适的上拉电阻和电源才能正常工作,结果是将各个OC门的输出相与。(10分) 页脚内容4

相关文档
相关文档 最新文档