文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理复习提纲复习资料版

计算机组成原理复习提纲复习资料版

计算机组成原理复习提纲复习资料版
计算机组成原理复习提纲复习资料版

《计算机组成原理》复习提纲

第一章:绪论

1、存储程序概念(基本含义)。P3

⑴计算机(指硬件)应由运算器、存储器、控制器、输入设备和输出设备五大基本部件组成;

⑵计算机内部采用二进制来表示指令和数据;

⑶将编好的程序和原始数据事先存入存储器中,然后再启动计算机工作

2、冯·诺依曼计算机结构的核心思想是什么?存储程序控制

3、主机的概念(组成部件是哪些?)

中央处理器(运算器和控制器)和主存储器

4、计算机的五大基本部件有哪些?

输入设备,输出设备,存储器,运算器,控制器

5、冯·诺依曼结构和哈佛结构的存储器的设计思想各是什么?P9

程序存储、程序控制

冯·诺依曼结构也称普林斯顿结构,是一种将程序指令存储器和数据存储器合并在一起的存储器结构。指令存储地址和数据存储地址指向同一个存储器的不同物理位置。

哈佛结构是一种将程序指令存储和数据存储分开的存储器结构。CPU首先到指令存储器中读取指令内容,译码后得到数据地址,再到相应的数据存储器中读取数据,并进行下一步的操作(通常是执行)

Cache和主存储器分别是采用的哪种设计思想?

Cache采用哈佛结构,主存储器采用冯.诺依曼结构

6、计算机系统是有软件系统和硬件系统组成的。

7、现代个人PC机在总线结构上基本上都采用的是单总线结构,根据所传送的信息类型

不同又可分为哪三类总线?地址总线,数据总线,控制总线

第二章:数据的机器层表示

1、定点小数表示范围(原码、补码)

原码定点小数表示范围为:-(1-2-n)~(1-2-n)

补码定点小数表示范围为:-1~(1-2-n)

2、定点整数表示范围(原码、补码)

原码定点整数的表示范围为:-(2n-1)~(2n-1)

补码定点整数的表示范围为:-2n ~(2n-1)

3、浮点数表示范围PPT37

4、规格化的浮点数

5、阶码的移码表示

6、IEEE 754浮点数标准

本章复习范围为ftp上第二章的作业题的1、2、3、4题。

第三章:指令系统

1、指令的基本格式(OP字段和地址字段组成)。

2、指令的地址码结构(

3、2、1、0地址指令的区别)

3、非规整型指令的操作码(扩展操作码)

4、编址方式(字编址、字节编址、位编址。哪种编址方式能支持单字节访问?哪几种是

有地址空间浪费的?位编址)

5、指令中地址码的位数是和主存容量(寻址空间)、最小寻址单位有关的。

6、常见数据寻址方式的特点:立即寻址、直接寻址、间接寻址、相对寻址、变址寻址、

页面寻址

7、各种数据寻址方式的速度区别?(课件P56)

由快到慢:立即寻址0寄存器,0内存

寄存器寻址1寄存器,0内存

直接寻址0寄存器,1内存

寄存器间接寻址1寄存器,1内存

页面寻址1寄存器,1拼接,1内存

变址寻址(基址寻址、相对寻址)

1寄存器,1ALU运算,1内存

一级间接寻址0寄存器,2内存

多级间接寻址0寄存器,2以上内存

8、各种寻址方式的有效地址EA的计算

直接寻址EA=A、间接寻址EA=(A)、变址寻址EA=A+(RX)、基址寻址EA=(Rb)+D、

页面寻址EA=0∥A或EA=(PC)H∥A、相对寻址EA=(PC)+D

9、什么是精简指令系统(RISC)?

精简指令系统(RISC)方案顾名思义,它是一个精简的指令系统。从而提高了微理器的效率,但需要更复杂的外部程序。RISC系统通常比CISC系统要快。他的80/20规则促进了RISC体系结构的开发。

大多数台式微处理器方案如Intel和Motorola芯片都采用CISC方案;工作站处理器加MIDS芯片DEC Alpha和IBM RS系列芯片均采用RISC体系结构。当前和将来的处理器方案似乎更倾向于RISC。

10、输入输出设备的两种编址方式:独立编址I/O、统一编址I/O。

本章练习题目范围为ftp上第三章的作业题的1、2、3、4、5、6题。

第四章:数值的及其运算

1、已知[Y]补求[-Y]补的方法(连同符号位按位取反加一)。P96

2、双符号位补码的溢出判断与检测方法(两个符号位异号)。

双符号位的含义如下:

S s1S s2=00 结果为正数,无溢出

S s1S s2=01 结果正溢

S s1S s2=10 结果负溢

S s1S s2=11 结果为负数,无溢出

3、掌握补码的左移、右移运算方法。

正负数符号位都不变

正数的补码右移后的空出位一律以0补入

负数的补码左移后的空出位补0,右移后的空出位补1

4、掌握补码一位乘法运算方法。P106例4-9

5、掌握补码加减交替除法运算方法p116例4-13

第五章:存储系统和结构

1、计算机的存储系统包括什么?

高速缓冲存储器,主存储器,辅助存储器

2、存储器分类:按存取方式分类可分为?

随机存取存储器RAM, 只读存储器ROM,顺序存取存储器SAM,直接存取存储器DAM,按信息的可保存性分类可分为?

易失性存储器,非易失性存储器

3、存储系统层次结构可分为Cache-主存层次和主-辅存层次。他们各是为了解决什么

问题而产生的?

Cache-主存层次是为解决主存速度不足而提出来的,主-辅存层次是为解决主存容量不足而提出来的。

4、掌握字节编址存储器的各种访问方法,将不同长度的数据按要求存放在存储器中

P144-145。

不浪费存储器资源的存放方法,从存储字的起始位置开始存放方法,边界对齐的数据存放方法

5、SRAM和DRAM的读写速度比较?

SRAM的存取速度快,但集成度低,功耗也比较大。DRAM集成度高,功耗小,但存取速度慢。

高速缓冲器和主存储器各是采用了二者中哪种来制作的?

高速缓冲器采用SRAM,主存储器采用DRAM

6、动态RAM(DRAM)的三种刷新方式各是什么?

集中式,分散式,异步式

8、主存容量的扩展:字扩展,位扩展,同时扩展。存储芯片的地址分配和片选。P157

位扩展指只在位数方向扩展(加大字长),而芯片的字数和存储器的字数是一致的。位扩展的连接方式是将各存储芯片的地址线、片选线和读/写线相应地并联起来,而将各芯片的数据线单独列出。

字扩展是指仅在字数方向扩展,而位数不变。字扩展将芯片的地址线、数据线、读/写线并联,由片选信号来区分各个芯片。

同时扩展是指当构成一个容量较大的存储器时,往往需要在字数方向和位数方向上同时扩展,这将是前两种扩展的组合。

CPU要实现对存储单元的访问,首先要选择存储芯片,即进行片选;然后再从选中的芯片中依地址码选择出相应的存储单元,以进行数据的存取,这称为字选。片内的字选是由CPU送出的N条低位地址线完成的,地址线直接接到所有存储芯片的地址输入端(N 由片内存储容量2N决定),而片选信号则是通过高位地址得到的。实现片选的方法可分为3种:即线选法、全译码法和部分译码法。

课后题P184-185 5-10,5-11,ftp上第三章的作业题的1、2

第六章:中央处理器

1、中央处理器的组成包括哪些部件?

运算器和控制器

2、控制器有哪几种控制方式?各有何特点?

对指令流的控制:

指令流出的控制

指令分析与执行的控制

指令流向的控制

3、中央处理器有哪些专用寄存器?各完成什么功能?

程序计数器(PC)、指令寄存器(IR)、存储器地址寄存器(MAR)、存储器数据寄存器(MDR)、状态标志寄存器(PSWR)

程序计数器用来存放正在执行的指令地址或接着要执行的下条指令地址

指令寄存器用来存放从存储器中取出的指令

存储器地址寄存器用来保存当前CPU所访问的主存单元的地址

存储器数据寄存器用来暂时存放由主存储器读出的一条指令或一个数据字;反之,当向主存存入一条指令或一个数据字时,也暂时将它们存放在存储器数据寄存器中。

状态标志寄存器用来存放程序状态字的。程序状态字的各位表征程序和机器运行的状态4、控制器的硬件实现方法中,组合逻辑控制器和微程序控制器的区别?

组合逻辑型

这种控制器称为常规控制器或硬布线控制器,它是采用组合逻辑技术来实现的,其微操作序列形成部件是由门电路组成的复杂树形网络。

组合逻辑控制器的最大优点是速度快,但是微操作信号发生器的结构不规整,使得设计、调试、维修较困难,难以实现设计自动化。

微程序控制器

它是采用存储逻辑来实现的,也就是把微操作信号代码化,使每条机器指令转化成为一段微程序并存入一个专门的存储器(控制存储器)中,微操作控制信号由微指令产生。

它具有设计规整、调试、维修以及更改、扩充指令方便的优点,易于实现自动化设计,已成为当前控制器的主流。但是,由于它增加了一级控制存储器,所以指令执行速度比组合逻辑控制器慢。

5、什么是三级时序系统?指令周期、机器周期、节拍和工作脉冲之间的关系是什么?

机器周期、节拍、工作脉冲为三级时序系统

时间从长到短的关系

6、一条指令的运行过程可分为几个阶段?其中哪个阶段属于公共操作?

取指令阶段、分析取数阶段和执行阶段

取指令阶段

7、掌握取指令阶段的微指令操作序列。P201

8、理解微程序控制的有关术语(如微命令、微操作、微指令、微程序等),并掌握微程

序和普通的程序指令之间的关系。课件P74

一条机器指令可以分解成一个微操作序列,这些微操作是计算机中最基本的、不可再分解的操作。微命令是控制计算机各部件完成某个基本微操作的命令。

微命令和微操作是一一对应的。微命令是微操作的控制信号,微操作是微命令的操作过程

微指令是指控制存储器中的一个单元的内容,即控制字,它是若干个微命令的集合。存放控制字的控制存储器的单元地址就称为微地址。

一条微指令通常至少包含两大部分信息:

①操作控制字段,又称微操作码字段,用以产生某一步操作所需的各微操

作控制信号。

②顺序控制字段,又称微地址码字段,用以控制产生下一条要执行的微指

令地址。

9、理解微程序控制计算机的两个层次(传统机器层和微程序层)P203页最下面。

微程序控制的计算机涉及到两个层次:一个是机器语言或汇编语言程序员所看到的传统机器层,包括:机器指令、工作程序、主存储器;另一个是机器设计者看到的微程序层,包括:微指令、微程序和控制存储器。

9、微指令编码法有哪三种?哪种编码方法指令字最长?哪种最短?哪种编码方法并行

性最好?哪种最差?在字段编码法中,我们是将兼容性的微指令放在同一字段还是将互斥性的微指令放在同一字段?

1.直接控制法(不译码法),

2.最短编码法,

3.字段编码法

2.直接控制法指令字长最长,结构简单,并行性强,操作速度快,最短编码法的微指

令字长最短,微命令数目越多,译码器就越复杂

3.在字段编码法中,互斥的在同一字段,兼容的在不同字段

例题:单总线中央处理器的逻辑结构如图所示,部件中数据传输方向由图中箭头标示。IR为

指令寄存器,PC为程序计数器(具有自增功能),M为主存,MAR为主存地址寄存器,MDR 为数据缓冲寄存器,R0~R3是通用寄存器,拟出加法指令ADD R1, (R2);[R1+(R2)→R1 ]的读取和执行流程。其中R1表示寄存器寻址,(R2)表示寄存器间接寻址。

课后题P234 6-14

第七章:外部设备

1、掌握磁介质存储器的主要技术指标(记录密度,存储容量,平均存取时间,数

据传送率)。

1.记录密度是指磁介质存储器单位长度或单位面积磁层表面所能存储的二进制信息量。

通常以道密度和位密度表示,也可用两者的乘积面密度来表示

存储容量是指整个磁介质存储器所能存储的二进制信息的总量,一般用位或字节为单位表示,它与存储介质尺寸和记录密度直接相关

磁盘的平均存取时间Ta ,由平均寻道时间Ts 和平均等待时间Tw 组成

磁介质存储器在单位时间内向主机传送数据的位数或字节数,称为数据传送率Dr ,单位为位/秒或字节/秒。

2、掌握硬盘存储器技术参数的计算。P251

3、掌握格式化容量和非格式化容量的区别以及各自的参数计算方法。

格式化实际上就是在磁盘上划分记录区,写入各种标志信息和地址信息,这些信息占用了磁盘的存储空间,故格式化之后的有效存储容量要小于非格式化容量。

非格式化容量=最大位密度×最内圈磁道周长×总磁道数

格式化容量=每道扇区数×扇区容量×总磁道数

4、格式化容量是以扇区为基本存储单位的。

P295 课后题7-6,7-7,7-8

第八章:输入输出系统

1、接口是有哪三部分组成的?

外设识别,数据或命令状态,控制电路

2、接口与端口的区别。

端口是指接口电路中可以进行读/写的寄存器,若干个端口加上相应的控制逻辑电路才组成接口。

3、输入输出信息的传送控制方式有哪几种?

程序查询方式,程序中断方式,直接存储器存取(DMA)方式,I/O通道控制方式

4、程序查询方式的工作流程。PPT34

(1) 预置传送参数

(2) 向I/O接口发命令字

(3) 从I/O接口取回状态字

(4) 查询外设标志

(5) 传送数据

(6) 修改传送参数

(7) 判断传送是否结束

5、程序中断和调用子程序有什么区别?

⑴子程序的执行是由程序员事先安排好的(由一条调用子程序指令转入),而中断服务程序的执行则是由随机的中断事件引起的;

⑵子程序的执行受到主程序或上层子程序的控制,而中断服务程序一般与被中断的现行程序毫无关系;

⑶不存在同时调用多个子程序的情况,但有可能发生多个外设同时请求CPU为自己服务的情况。

6、什么是向量中断?

向量中断是指那些中断服务程序的入口地址是由中断事件自己提供的中断。中断事件在提出中断请求的同时,通过硬件向主机提供中断服务程序入口地址,即向量地址。

非向量中断的中断事件不能直接提供中断服务程序的入口地址,而由CPU 查询之后得到

7、CPU响应中断的条件有哪些?

(1) CPU接收到中断请求信号

(2) CPU允许中断

(3) 一条指令执行完毕

8、什么是中断隐指令?它完成的操作有哪些?

CPU响应中断之后,经过某些操作,转去执行中断服务程序。这些操作是由硬件直接实现的,我们把它称为中断隐指令。中断隐指令并不是指令系统中的一条真正的指令,它没有操作码,所以中断隐指令是一种不允许、也不可能为用户使用的特殊指令。

(1) 保存断点

(2) 暂不允许中断(关中断)

(3)引出中断服务程序

9、如何使计算机具备多重中断(中断嵌套)的能力?

要使计算机具有多重中断的能力,首先要能保护多个断点,先发生的中断请求的断点,先保护后恢复;后发生的中断请求的断点,后保护先恢复,堆栈的先进后出特点正好满足多重中断这一先后次序的需要,同时在CPU进入某一中断服务程序之后,系统必须处于开中断状态,否则中断嵌套是不可能实现的。

10、理解中断屏蔽和中断升级的原理。PPT71,72

中断屏蔽:中断源发出中断请求之后,这个中断请求并不一定能真正送到CPU去,在有些情况下,可以用程序方式有选择地封锁部分中断,这就是中断屏蔽。

中断升级:中断屏蔽字的另一个作用是可以改变中断优先级,将原级别较低的中断源变成较高的级别,我们称之为中断升级。这实际上是一种动态改变优先级的方法。

11、掌握中断处理的全过程。P321 图8-18

12、DMA方式和中断的区别?

①中断方式是程序切换,需要保护和恢复现场,每传数据块中的一个数据都要中断一次

(占用CPU资源);而DMA方式除了开始和结尾时,不占用CPU的任何资源(中断一次)。

②对中断请求的响应只能发生在每条指令执行完毕时;而对DMA请求的响应可以发生

在每个机器周期结束时。

③中断传送过程需要CPU的干预;而DMA传送连续地址数据过程不需要CPU的干预,

故数据传送速率非常高,适合于高速外设的成组数据传送。

④DMA请求的优先级高于中断请求。

⑤中断方式具有对异常事件的处理能力;而DMA方式仅局限于完成传送信息块的I/O

操作。

13、通道控制方式和DMA方式的区别?

①DMA控制器是通过专门设计的硬件控制逻辑来实现对数据传送的控制;而通道则是一个具有特殊功能的处理器,它具有自己的指令和程序,通过执行一个通道程序实现对数据传送的控制,故通道具有更强的独立处理数据输入/输出的功能。

②DMA控制器通常只能控制一台或少数几台同类设备;而一个通道则可以同时控制许多台同类或不同类的设备

14、按照输入输出信息的传送方式,通道可以分为哪三类?其中哪种通道用于连接与管

理多台低速设备?为什么?哪种方式适用于主机与单个高速外设之间进行简单的数据块传送?为什么?

(1)字节多路通道(2)选择通道(3)数组多路通道

字节多路通道是一种简单的共享通道,用于连接与管理多台低速设备,以字节交叉方式传送。

一个字节多路通道包括多个按字节方式传送信息的子通道,每个子通道可以独立的执行通道程序。各个子通道可以并行工作,但是所有子通道的的控制部分是公用的,各个子通道可以分时地使用控制部分。

选择同道又称高速设备,在物理上它可以连接多个不能同时工作的设备,在一段时间内,通道只能选择一台设备进行数据传说,此时该设备占用整个通道。

P348-349 8-16,8-17,8-18,8-19,8-20

上面就是为大家总结的复习提纲,里面的知识点和练习题目都给出来了。希望大家对照着复习提纲,再参照课件和课本来具体复习。这门课的知识点很多,这已经是缩得不能再缩了,如果里面有什么不懂地方,大家可以讨论下,或者来办公室B5-106来问我。祝大家考个好成绩。

考试题型:选择题:10分

判断题:10分

填空题:15分

简答题:20分

计算题:20分

设计题:20分

所有的提醒在复习提纲里和所指定的习题里都有了。

计算机组成原理_第四版课后习题答案(完整版)[]

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的;数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。两者主要区别见 P1 表 1.1 。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。 3.数字计算机有那些主要应用?(略) 4.冯 . 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯 . 诺依曼型计算机的主要设计思想是:存储程序和程序控制。存储程序:将解题的程序(指令序列)存放到存储器中;程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB MB GB来度量,存储 容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。单元地址:单元地址简称地址,在存储器中每个存储单元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的信息即为数据信息。

计算机组成原理第五版 白中英(详细)第4章习题参考答案

第4章习题参考答案 1.ASCII码是7位,如果设计主存单元字长为32位,指令字长为12位,是否合理?为什么? 答:不合理。指令最好半字长或单字长,设16位比较合适。一个字符的ASCII 是7位,如果设计主存单元字长为32位,则一个单元可以放四个字符,这也是可以的,只是在存取单个字符时,要多花些时间而已,不过,一条指令至少占一个单元,但只占一个单元的12位,而另20位就浪费了,这样看来就不合理,因为通常单字长指令很多,浪费也就很大了。 2.假设某计算机指令长度为32位,具有双操作数、单操作数、无操作数三类指令形式,指令系统共有70条指令,请设计满足要求的指令格式。 答:字长32位,指令系统共有70条指令,所以其操作码至少需要7位。 双操作数指令 单操作数指令 无操作数指令 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 答:该指令格式及寻址方式特点如下: (1) 单字长二地址指令。 (2) 操作码字段OP可以指定26=64种操作。 (3) 源和目标都是通用寄存器(可分指向16个寄存器)所以是RR型指令,即两个操作数均在寄存器中。 (4) 这种指令结构常用于RR之间的数据传送及算术逻辑运算类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 15 10 9 8 7 4 3 0 答:该指令格式及寻址方式特点如下: (1)双字长二地址指令,用于访问存储器。 (2)操作码字段OP可以指定26=64种操作。 (3)RS型指令,一个操作数在通用寄存器(选择16个之一),另一个操作数 在主存中。有效地址可通过变址寻址求得,即有效地址等于变址寄存器(选择16个之一)内容加上位移量。

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理第二版课后习题详细答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理试卷(3)

试卷1312222258 一、单4选1(题下选项可能多个正确,只能选择其中最佳的一项) 1、 以下四种类型指令中,执行时间最长的是。 A: RR型 B:RS型 C:SS型 D:程序控制指令 答案:C 2、 寄存器间接寻址方式中,操作数处在______。 A: 通用寄存器 B: 主存单元 C: 程序计数器 D:堆栈 答案:B 3、 单地址指令( ) A:无处理双操作数的功能 B:既能对单操作数进行加工处理,也能对双操作数进行运算 C:只能对双操作数进行加工处理 D:只能对单操作数进行加工处理 答案:B 4、 计算机的存储器采用分级方式是为了______。

A:减少主机箱的体积 B:解决容量、价格、速度三者之间的矛盾 C:保存大量数据方便 D:操作方便 答案:B 5、 在多级中断方式下,CPU在处理中断时() A:可响应更高级别的中断请求 B:可响应更低级别的中断请求 C:禁止其它的中断请求 D:可响应同级别的中断请求 答案:A 6、 二进制数01101011对应的十进制数为() A:107 B:127 C:117 D:100 答案:A 7、 先计算后再访问内存的寻址方式是______。 A:变址寻址 B:间接寻址 C:直接寻址 D:立即寻址 答案:A 8、

目前我们所说的个人台式商用机属于。 A: 巨型机 B:中型机 C:小型机 D:微型机 答案:D 9、 采用虚拟存贮器的主要目的是( )。 A:提高主存贮器的存取速度 B:扩大主存贮器的存贮空间,并能进行自动管理和调度C:提高外存贮器的存取速度 D:扩大外存贮器的存贮空间 答案:B 10、 定点原码一位乘法是______。 A:先取操作数绝对值相乘,符号位单独处理 B:用原码表示操作数,然后直接相乘 C:被乘数用原码表示,乘数取绝对值,然后相乘 D:乘数用原码表示,被乘数取绝对值,然后相乘 答案:A 11、 下列存储器中存取速度最快的存储器是( ) A:磁带 B:磁盘 C:辅存 D:主存

计算机组成原理课后复习资料白中英主编第五版立体化教材

计算机组成原理第五版习题答案计算机组成原理第五版习题答案 第一章 (1) 第二章 (3) 第三章 (14) 第四章 (19) 第五章 (21) 第六章 (27) 第七章 (31) 第八章 (34) 第九章 (36)

1

计算机组成原理第五版习题答案第一章 1.模拟计算机的特点是数值由连续量来表示,运算过程也是连续的。数字计算机的主要特点是按位运算,并且不连续地跳动计算。模拟计算机用电压表示数据,采用电压组合和测量值的计算方式,盘上连线的控制方式,而数字计算机用数字0 和 1 表示数据,采用数字计数的计算方式,程序控制的控制方式。数字计算机与模拟计算机相比,精度高,数据存储量大,逻辑判断能力强。 2.数字计算机可分为专用计算机和通用计算机,是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 3.科学计算、自动控制、测量和测试、信息处理、教育和卫生、家用电器、人工智能。4.主要设计思想是:采用存储程序的方式,编制好的程序和数据存放在同一存储器中,计算机可以在无人干预的情况下自动完成逐条取出指令和执行指令的任务;在机器内部,指令和数据均以二进制码表示,指令在存储器中按执行顺序存放。主要组成部分有::运算器、逻辑器、存储器、输入设备和输出设备。 5.存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字。6.计算机硬件可直接执行的每一个基本的算术运算或逻辑运算操作称为一条指令,而解算某一问题的一串指令序列,称为程序。 7.取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 8.半导体存储器称为内存,存储容量更大的磁盘存储器和光盘存储器称为外存,内存和外存共同用来保存二进制数据。运算器和控制器合在一起称为中央处理器,简称CPU,它用来控制计算机及进行算术逻辑运算。适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调地工作。 9.计算机的系统软件包括系统程序和应用程序。系统程序用来简化程序设计,简化使用方法,提高计算机的使用效率,发挥和扩大计算机的功能用用途;应用程序是用户利用计算机来解决某些问题而编制的程序。 10.在早期的计算机中,人们是直接用机器语言来编写程序的,这种程序称为手编程序或目的程序;后来,为了编写程序方便和提高使用效率,人们使用汇编语言来编写程序,称为汇编程序;为了进一步实现程序自动化和便于程序交流,使不熟悉具体计算机的人也能很方便地使用计算机,人们又创造了算法语言,用算法语言编写的程序称为源程序,源程序通过编译系统产生编译程序,也可通过解释系统进行解释执行;随着计算机技术的日益发展,人们又创造出操作系统;随着计算机在信息处理、情报检索及各种管理系统中应用的发展,要求大量处理某些数据,建立和检索大量的表格,于是产生了数据库管理系统。 11.第一级是微程序设计级,这是一个实在的硬件级,它由机器硬件直接执行微指令; 第二级是一般机器级,也称为机器语言级,它由程序解释机器指令系统;第三级是操作系统级,它由操作系统实现;第四级是汇编语言级,它给程序人员提供一种符号形式语言,以减少程序编写的复杂性;第五级是高级语言级,它是面向用户的,为方便用户编写应用程序而设置的。用一系列的级来组成计算机的接口对于掌握计算机是如何组成的提供了一种好的结构和体制,而且用这种分级的观点来设计计算机对保证产生一个良好的系统结构也是很有帮助的。

计算机组成原理作业~第三章

一、选择题 1、EPROM是指___D___。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 2、计算机系统中的存贮器系统是指__D__。 A RAM存贮器 B ROM存贮器 C 主存贮器 D cache、主存贮器和外存贮器 3、存储单元是指__B__。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 4、相联存贮器是按__C_进行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方式 D 地址方式与堆栈方式 5、存储器是计算机系统的记忆设备,主要用于___D___。 A.存放程序 B.存放软件 C.存放微程序 D.存放程序和数据 6、外存储器与内存储器相比,外存储器___B___。 A.速度快,容量大,成本高 B.速度慢,容量大,成本低 C.速度快,容量小,成本高 D.速度慢,容量大,成本高 7、一个256K×8的存储器,其地址线和数据线总和为___C___。 A.16 B.18 C.26 D.20 8、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目为__D__。 A 64,16 B 16,64 C 64,8 D 16,16 。 9、交叉存贮器实质上是一种__A__存贮器,它能_____执行______独立的读写操作。 A 模块式,并行,多个 B 模块式串行,多个 C 整体式,并行,一个 D 整体式,串行,多个 10、存储器是计算机系统中的记忆设备,它主要用来__C___。 A. 存放数据 B. 存放程序 C. 存放数据和程序 D. 存放微程序 11、某计算机的字长16位,它的存储容量是64KB,若按字编址,那么它的寻址范围是 ___B___。 A. 64K B.32K C. 64KB D. 32KB 12、存储单元是指__A__。 A.存放一个机器字的所有存储元 B.存放一个二进制信息位的存储元 C.存放一个字节的所有存储元的集合 D.存放两个字节的所有存储元的集合13、机器字长32位,其存储容量为4MB,若按字编址,它的寻址范围是_A__。 A. 1M B. 1MB C. 4M D. 4MB

计算机组成原理试卷

计算机组成原理考试试题纸(A卷) 课程:计算机组成原理及系统结构班级学号:姓名: 题号一二三四五六七八九总分题分 一、选择题(10分) 1. 冯·诺依曼(Von Neumann)机工作方式的基本特点是。 A.指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存储器按内容选择地址 2. 若一个数的编码是10000111,它的真值为+7,则该编码是。 A.原码B.反码C.补码D.移码 3. 若寄存器中存放的是数据的,则经过一次算术右移操作后, 结果相当于原来的数除以2。 A.原码B.反码C.补码D.无符号数 4.采用虚拟存储器的主要目的是。 A.提高主存储器的存取速度 B. 扩大主存储器的存储空间,并能进行自动管理调度 C.提高外存储器的存取速度 D.扩大外存储器的存储空间 5.需要刷新的存储器是。 A. Cache B.ROM C.静态存储器 D.动态存储器 6.在指令格式中,采用扩展操作码设计方案的目的是。 A.保持指令字长度不变而增加寻址空间 B. 增加指令字长度 C. 保持指令字长度不变而增加指令操作的数量 D.减少指令字长度 7.操作控制器的功能是。 A. 产生时序信号 B. 从主存取出一条指令 C. 完成指令操作码译码 D. 从主存取出指令,完成指令操作码译码,并产生有关的操作控制 信号,以解释执行该指令 8.计算机中使用总线结构便于增减外设,同时。 A. 减少了信息传输量B. 提高了信息传输速度 C. 减少了信息传输线的条数D. 三者均正确 9.周期挪用方式常用于______中。 A. 直接内存存取方式的输入/输出 B. 直接程序传送方式的输入/输出 C. CPU的某寄存器与存储器之间的直接程序传送 D. 程序中断方式的输入/输出 10.如果有多个中断同时发生,系统将根据中断优先级响应优先级最高的中断请求,若要调整中断事件的响应次序,可以通过______实现。 A.中断嵌套 B.中断向量 c.中断响应 D.中断屏蔽 二.填空题(20分) 1. 计算机系统结构的发展和演变看,早期的计算机是以为中心 的系统结构,而近代的计算机是以为中心的系统结构。 2. 在浮点加法运算中,主要的操作内容及步骤是、、。 3. 在多级存储体系中,Cache存储器的主要功能是,虚拟 存储器的主要功能是。 4. 确定计算机指令系统应满足的基本要求是、和。 5. 集中式总线控制可分为、和三种,其中 响应时间最快,对电路的故障最敏感。 6.计算机系统中,CPU对外设的管理方式有:______方式、______方式、____ _方式、__ ___方式、___ __方式五种。

《计算机组成原理》第三章课后题参考答案

第三章课后习题参考答案 1.有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作芯片选择? 解:(1)∵ 220= 1M,∴ 该存储器能存储的信息为:1M×32/8=4MB (2)(1024K/512K)×(32/8)= 8(片) (3)需要1位地址作为芯片选择。 3.用16K×8位的DRAM芯片组成64K×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设DRAM芯片存储体结构为128行,每行为128×8个存储元。如单元刷新间隔不超过2ms,存储器读/写周期为0.5μS, CPU在1μS内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解:(1)组成64K×32位存储器需存储芯片数为 N=(64K/16K)×(32位/8位)=16(片) 每4片组成16K×32位的存储区,有A 13-A 作为片内地址,用A 15 A 14 经2:4译码 器产生片选信号,逻辑框图如下所示:

(2)根据已知条件,CPU在1us内至少访存一次,而整个存储器的平均读/写周期为0.5us,如果采用集中刷新,有64us的死时间,肯定不行; 所以采用分散式刷新方式: 设16K×8位存储芯片的阵列结构为128行×128列,按行刷新,刷新周期T=2ms,则分散式刷新的间隔时间为: t=2ms/128=15.6(s) 取存储周期的整数倍15.5s(0.5的整数倍) 则两次刷新的最大时间间隔发生的示意图如下 可见,两次刷新的最大时间间隔为t MAX =15.5×2-0.5=30.5 (μS) t MAX 对全部存储单元刷新一遍所需时间为t R =0.5×128=64 (μS) t R 4.有一个1024K×32位的存储器,由128K×8位DRAM芯片构成。问: (1)总共需要多少DRAM芯片? (2)设计此存储体组成框图。 (3)设DRAM芯片存储体结构为512行,每行为256×8个存储元。采用分散式刷新方式,如单元刷新间隔不超过8ms,则刷新信号周期是多少?

3计算机组成原理试卷

一.单项选择题(每个空格只有一个正确答案,35分,每题5分) 1. C 是 G 周期中从内存流向 B 的信息流; E 是 H 周期中从内存流向运算 器的信息流。 A. 存储器 B. 控制器 C. 指令流 D. 控制流 E. 数据流 F. 缓冲 G. 取指 H. 执行 2. G 存储器是一种高速工作的存储器,指同一个存储器具有两组相互 B 的 C 控 制线路,可以对存储器中 E 位置上的数据进行 B 的存取操作。 A. 依赖 B. 独立 C. 读写 D. 刷新 E. 任何 F. 指定 G. 双端口 H. 三端口 3.cache是介于CPU和 E 之间的 H 容量存储器,能高速地向CPU提供 A 和数据, 从而加快程序的执行速度。cache由高速的 F 组成,全部功能都由 C 实现,因而对程序员是透明的。 A. 指令 B. DRAM C. 硬件 D. 软件 E. 主存 F. SRAM G. 大 H. 小 4.虚拟存储器只是一个容量非常大的存储器的 C 模型,不是任何实际的 D 存储器。 有了虚拟存储器,用户无需考虑所编程序在 H 中是否放得下或放在什么位置等问题。 虚拟地址由 E 生成,但虚拟地址空间的大小实际上受到 G 容量的限制。 A. 操作系统 B. CPU地址引脚 C. 逻辑 D. 物理 E. 编译程序 F. 用户程序 G. 辅存 H. 主存 5.指令的 G 寻址方式,是指下条指令的地址码不是由 A 给出,而是由 C 给出。 因此, A 的内容也必须相应改变,以便及时跟踪新的指令 H 。 A. 程序计数器 B. 堆栈指示器 C. 本条指令 D. 下条指令 E. 顺序 F. 堆栈 G. 跳跃 H. 地址 6.通常用 F 读取一条指令字的 C 时间来规定CPU周期。取出和 H 任何一条指令 所需的 C 时间为 B 个CPU周期。 A. 1 B. 2 C. 最短 D. 最长 E. 外存 F. 内存 G. 译码 H. 执行 7.RISC的三个基本要素是:(1)一个有限的 E 的 C ;(2)CPU配备大量的 B ;(3) 强调对指令 G 的 D 。 A. 专用寄存器 B. 通用寄存器 C. 指令集 D. 优化 E. 简单 F. 复杂 G. 流水线 H. 超标量 二.简答题(30分,每题5分) 1.计算机体系结构、计算机组成、计算机实现三个术语的物理概念是什么?彼此间有什么关系? 【解】 计算机体系结构:机器语言程序员所看到的传统机器级所具有的属性,其实质是确定计算机系统中软硬件的界面。

计算机组成原理(薛胜军)课后习题答案第三版(1)

1. 1 计算机是一种能自动地、高速地对各种数字化信息进行运算处理的电子设备。 1. 2 冯诺依曼计算机体系结构的基本思想是存储程序,也就是将用指令序列描述的解题程序与原始数据一起存储到计算机中。计算机只要一启动,就能自动地取出一条条指令并执行之,直至程序执行完毕,得到计算结果为止。 按此思想设计的计算机硬件系统包含:运算器、控制器、存储器、输入设备和输出设备。 各部分的作用见教材:P10—P12 1. 3 计算机的发展经历了四代。 第一代:见教材P1 第二代:见教材P2 第三代:见教材P2 第四代:见教材P2 1.4系统软件定义见教材:P12—13,应用软件定义见教材:P12 1.5见教材:P14—15 1.6见教材:P11 1.7见教材:P6—8 1.8硬件定义见教材:P9 软件定义见教材:P12 固件定义见教材:P13 1.9 1)听觉、文字、图像、音频、视频 2)图像、声音、压缩、解压、DSP 1.10处理程度按从易到难是: 文本→图形→图像→音频→视频 第二章 2.1各数的原码、反码、补码和移码见下表:

2.2 27/64=00011011/01000000=0.0110110=0.11011×2-1 规格化浮点表示为:[27/64]原=101,011011000 [27/64]反=110,011011000 [27/64]补=111,011011000 同理:--27/64=--0.11011×2-1 规格化浮点表示为:[27/64]原=101,111011000 [27/64]反=110,100100111 [27/64]补=111,100101000 2.3 模为:29=1000000000 2.4 不对,8421码是十进制的编码 2.5浮点数的正负看尾数的符号位是1还是0 浮点数能表示的数值范围取决于阶码的大小。 浮点数数值的精确度取决于尾数的长度。 2.6 1)不一定有N1>N2 2)正确 2.7 最大的正数:0111 01111111 十进制数:(1-2-7)×27 最小的正数:1001 00000001 十进制数:2-7×2-7 最大的负数:1001 11111111 十进制数:--2-7×2-7 最小的负数:0111 10000001 十进制数:--(1-2-7)×27 2.8 1)[x]补=00.1101 [y]补=11.0010 [x+y]补=[x]补+[y]补=11.1111无溢出 x+y= -0.0001 [x]补=00.1101 [--y]补=00.1110 [x-y]补=[x]补+[--y]补=01.1011 正向溢出 2)[x]补=11.0101 [y]补=00.1111 [x+y]补=[x]补+[y]补=00.0100 无溢出 x+y= 0.0100 [x]补=11.0101 [--y]补=11.0001 [x-y]补=[x]补+[--y]补=10.0110 负向溢出 3) [x]补=11.0001 [y]补=11.0100 [x+y]补=[x]补+[y]补=10.0101 负向溢出 [x]补=11.0001 [--y]补=00.1100 [x-y]补=[x]补+[--y]补=11.1101 无溢出 X-y=-0.0011 2.9

计算机组成原理试题3

计算机组成原理试题3 一、选择题(共20分,每题1分) 1.直接、间接、立即三种寻址方式指令的执行速度,由快至慢的排序是______。 A.直接、立即、间接; B.直接、间接、立即; C.立即、直接、间接; D.立即、间接、直接。 2.存放欲执行指令的寄存器是______。 A.MAR; B.PC; C.MDR; D.IR。 3.在独立请求方式下,若有N个设备,则______。 A.有一个总线请求信号和一个总线响应信号; B.有N个总线请求信号和N个总线响应信号; C.有一个总线请求信号和N个总线响应信号; D.有N个总线请求信号和一个总线响应信号。 4.下述说法中______是正确的。 A.半导体RAM信息可读可写,且断电后仍能保持记忆; B.半导体RAM是易失性RAM,而静态RAM中的存储信息是不易失的; C.半导体RAM是易失性RAM,而静态RAM只有在电源不掉时,所存信息是不易失的。 5.DMA访问主存时,向CPU发出请求,获得总线使用权时再进行访存,这种情况称作______。 A.停止CPU访问主存; B.周期挪用; C.DMA与CPU交替访问; D.DMA。 6.计算机中表示地址时,采用______ 。 A.原码; B.补码; C.反码; D.无符号数。 7.采用变址寻址可扩大寻址范围,且______。 A.变址寄存器内容由用户确定,在程序执行过程中不可变; B.变址寄存器内容由操作系统确定,在程序执行过程中可变; C.变址寄存器内容由用户确定,在程序执行过程中可变; D.变址寄存器内容由操作系统确定,在程序执行过程不中可变; 8.由编译程序将多条指令组合成一条指令,这种技术称做_______。 A.超标量技术; B.超流水线技术; C.超长指令字技术;

计算机组成原理第四版课后题答案五,六章

第五章 1.请在括号内填入适当答案。在CPU中: (1) 保存当前正在执行的指令的寄存器是(指令寄存器IR); (2) 保存当前正要执行的指令地址的寄存器是(程序计数器PC); (3) 算术逻辑运算结果通常放在(通用寄存器)和(数据缓冲寄存器DR)。 2.参见下图(课本P166图5.15)的数据通路。画出存数指令"STA R1 ,(R2)"的指令周期 流程图,其含义是将寄存器R1的内容传送至(R2)为地址的主存单元中。标出各微操作信 号序列。 解:"STA R1 ,(R2)"指令是一条存数指令,其指令周期流程图如下图所示:

3.参见课本P166图5.15的数据通路,画出取数指令"LDA(R3),RO"的指令周期流程图, 其含义是将(R3)为地址的主存单元的内容取至寄存器R0中,标出各微操作控制信号序列。 5.如果在一个CPU周期中要产生3个脉冲 T1 = 200ns ,T2 = 400ns ,T3 = 200ns,试画出 时序产生器逻辑图。 解:节拍脉冲T1 ,T2 ,T3 的宽度实际等于时钟脉冲的周期或是它的倍数,此时T1 = T3 =200ns , T2 = 400 ns ,所以主脉冲源的频率应为 f = 1 / T1 =5MHZ 。为了消除节拍脉冲上的毛刺,环 型脉冲发生器可采用移位寄存器形式。下图画出了题目要求的逻辑电路图和时序信号关系。根据关 系,节拍脉冲T1 ,T2 ,T3 的逻辑表达式如下:

T1 = C1·, T2 = , T3 = 6.假设某机器有80条指令,平均每条指令由4条微指令组成,其中有一条取指微指令是所有指 令公用的。已知微指令长度为32位,请估算控制存储器容量。 解:微指令条数为:(4-1)×80+1=241条 取控存容量为:256×32位=1KB 7. 某ALU器件使用模式控制码M,S3,S2,S1,C来控制执行不同的算术运算和逻辑操作。 下表列出各条指令所要求的模式控制码,其中y为二进制变量,F为

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

计算机组成原理第3章习题参考答案

第3章习题参考答案 1、设有一个具有20位地址和32位字长的存储器,问 (1) 该存储器能存储多少字节的信息? (2) 如果存储器由512K ×8位SRAM 芯片组成,需要多少片? (3) 需要多少位地址作芯片选择? 解: (1) 该存储器能存储:字节4M 8 32 220=? (2) 需要 片88 232 28512322192020=??=??K (3) 用512K 8位的芯片构成字长为32位的存储器,则需要每4片为一组进行 字长的位数扩展,然后再由2组进行存储器容量的扩展。所以只需一位最高位地址进行芯片选择。 2、已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位的DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问; (1) 若每个内存条为16M ×64位,共需几个内存条? (2) 每个内存条内共有多少DRAM 芯片? (3) 主存共需多少DRAM 芯片? CPU 如何选择各内存条? 解: (1) 共需 条464 1664 226=??M 内存条 (2) 每个内存条内共有 328 464 16=??M M 个芯片 (3) 主存共需多少 1288 464 648464226=??=??M M M 个RAM 芯片, 共有4个内存条,故CPU 选择内存条用最高两位地址A 24和A 25通过2:4译码器实现;其余的24根地 址线用于内存条内部单元的选择。 3、用16K ×8位的DRAM 芯片构成64K ×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为μS ,CPU 在1μS 内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解: (1) 用16K ×8位的DRAM 芯片构成64K ×32位存储器,需要用 16448 1632 64=?=??K K 个芯片,其中每4片为一组构成16K ×32位——进行字长位数扩展(一组内的4个芯片只有数据信号线不互连——分别接D 0D 7、D 8D 15、D 16D 23和D 24D 31,其余同

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

计算机组成原理(第三版)课后答案

计算机是一种能自动地、高速地对各种数字化信息进行运算处理的电子设备。 1.2 冯诺依曼计算机体系结构的基本思想是存储程序,也就是将用指令序列描述的解题程序与原始数据一起存储到计算机中。计算机只要一启动,就能自动地取出一条条指令并执行之,直至程序执行完毕,得到计算结果为止。 按此思想设计的计算机硬件系统包含:运算器、控制器、存储器、输入设备和输出设备。 各部分的作用见教材:P10—P12 1.3 计算机的发展经历了四代。 第一代:见教材P1 第二代:见教材P2 第三代:见教材P2 第四代:见教材P2 1.4系统软件定义见教材:P12—13,应用软件定义见教材:P12 1.5见教材:P14—15 1.6见教材:P11 1.7见教材:P6—8 1.8硬件定义见教材:P9 软件定义见教材:P12 固件定义见教材:P13 1.9 1)听觉、文字、图像、音频、视频 2)图像、声音、压缩、解压、DSP 1.10处理程度按从易到难是: 文本→图形→图像→音频→视频

27/64=00011011/01000000=0.0110110=0.11011×2-1 规格化浮点表示为:[27/64]原=101,011011000 [27/64]反=110,011011000 [27/64]补=111,011011000 同理:--27/64=--0.11011×2-1 规格化浮点表示为:[27/64]原=101,111011000 [27/64]反=110,100100111 [27/64]补=111,100101000 2.3 模为:29=1000000000 2.4 不对,8421码是十进制的编码 2.5浮点数的正负看尾数的符号位是1还是0 浮点数能表示的数值范围取决于阶码的大小。 浮点数数值的精确度取决于尾数的长度。 2.6 1)不一定有N1>N2 2)正确 2.7 最大的正数:0111 01111111 十进制数:(1-2-7)×27最小的正数:1 十进制数:2-7×2-7 最大的负数:1 十进制数:--2-7×2-7 最小的负数:0111 10000001 十进制数:--(1-2-7)×27 2.8 1)[x]补=00.1101 [y]补=11.0010 [x+y]补=[x]补+[y]补=11.1111无溢出 x+y= -0.0001 [x]补=00.1101 [--y]补=00.1110 [x-y]补=[x]补+[--y]补=01.1011 正向溢出 2)[x]补=11.0101 [y]补=00.1111 [x+y]补=[x]补+[y]补=00.0100 无溢出 x+y= 0.0100 [x]补=11.0101 [--y]补=11.0001 [x-y]补=[x]补+[--y]补=10.0110 负向溢出 3) [x]补=11.0001 [y]补=11.0100 [x+y]补=[x]补+[y]补=10.0101 负向溢出 [x]补=11.0001 [--y]补=00.1100 [x-y]补=[x]补+[--y]补=11.1101 无溢出 X-y=-0.0011 2.9 1)原码一位乘法|x|=00.1111 |y|=0.1110

计算机组成原理试题库(含答案) -

计算机组成原理试题 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 2.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 3.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 4.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 5.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 6.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路 C.复杂指令计算机 D.超大规模集成电路 7.CPU响应中断的时间是_ C _____。 A.中断源提出请求;B.取指周期结束;C.执行周期结束;D.间址周期结束。8.常用的虚拟存储器寻址系统由____A__两级存储器组成。 A.主存-辅存;B.Cache-主存;C.Cache-辅存;D.主存—硬盘。 9.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作__A____。 A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。10.浮点数的表示范围和精度取决于__C____ 。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数; C.阶码的位数和尾数的位数;D.阶码的机器数形式和尾数的机器数形式。11.中断向量可提供___C___。 A.被选中设备的地址;B.传送数据的起始地址; C.中断服务程序入口地址;D.主程序的断点地址。 12.加法器采用先行进位的目的是____C__ 。 A.优化加法器的结构;B.节省器材;C.加速传递进位信号;D.增强加法器结构。13.在独立请求方式下,若有N个设备,则____B__。 A.有一个总线请求信号和一个总线响应信号;B.有N个总线请求信号和N个总线响应信号; C.有一个总线请求信号和N个总线响应信号;D.有N个总线请求信号和一个总线响应信号。 14.主存和CPU之间增加高速缓冲存储器的目的是___A___。 A.解决CPU和主存之间的速度匹配问题;B.扩大主存容量; C.既扩大主存容量,又提高了存取速度;D.扩大辅存容量。

相关文档
相关文档 最新文档