文档库 最新最全的文档下载
当前位置:文档库 › 福师《EDA技术》在线作业一答卷

福师《EDA技术》在线作业一答卷

福师《EDA技术》在线作业一-0005
试卷总分:100 得分:100
一、多选题 (共 10 道试题,共 20 分)
1.按照处理的HDL语言类型,仿真器可以分为()。
A.Verilog HDL仿真器
B.VHDL HDL仿真器
C.混合仿真器
答案:ABC

2.用PLD器件实现设计的优势有哪些()?
A.周期短
B.投入少
C.风险小
D.对于成熟的设计往往采用PLD
答案:ABC

3.目前常用的硬件描述语言为:()。
A.Verilog
B.VHDL
C.和 VC
D.VB
答案:AB

4.常用的综合工具有哪些()。
A.FPGA Express
B.FPGA compiler
C.Synplify Pro
答案:ABC

5.目前的EDA技术主要特点有哪些()。
A.使用普及
B.应用广泛
C.工具多样
D.软件功能强大
答案:ABCD

6.ASIC电路特点描述正确的是()。
A.周期长
B.投入高
C.功耗低
D.省面积
答案:ABCD

7.下面哪些是专业提供PLD器件厂商()。
A.Xilinx
B.Altera
https://www.wendangku.net/doc/1f10120726.html,ttice
D.Micsoftware
答案:ABC

8.常用的集成FPGA/CPLD开发工具有哪些()。
A.MAX+plus II
B.Quartus II
C.ISE
D.ispLEVER
答案:ABCD

9.TOP-down设计一般分为哪几个层次()。
A.系统级
B.功能级
C.门级
D.开关级
答案:ABCD

10.状态机常用的编码方式有()。
A.顺序编码
B.格雷编码
C.约翰逊编码
D.一位热码
答案:ABCD

二、判断题 (共 40 道试题,共 80 分)
11.数字设计流程中的设计输入的表达方式一般有原理图方式和HDL文本方式两种。
答案:正确

12.IP核中的软核与生产工艺无关,不涉及物理实现,为后续设计留有很大空间。
答案:正确

13.有限状态机可以认为是组合逻辑和寄存器逻辑的特殊组合。
答案:正确

14.硬件综合器和软件程序编译器没有本质区别。
答案:错误

15.Verilog HDL和 VHDL目前还都不是IEEE标准。
答案:错误

16.Alter的FPGA器件主要由两类配置方式:主动配置方式和被动配置方式。
答案:正确

17.SRAM是指静态存储器。
答案:正确

18.目前在数字系统的设计中,主要采用Bottom-UP设计为主。
答案:错误

19.HDL是一种用文本形式来描述和设计电路的语言。
答案:正确

20.Verilog程序的基本设计单元是“模块”( module)。
答案:正确

21.JTAG边界扫描测试技术提供了一种合理而有效的方法,用以对高密度、引脚密集的器件和系统进行测试。
答案:正确

22.Verilog语言的行为描述语句,如条件语句、赋值语句和循环语句类似于软件高级语言,便于学习和使用。
答案:正确

23.CAE是Computer Aided Engineering,计算机辅助工程的缩写。
答案:正确

24.Verilog HDL中的变量一般分为两种数据类型:net型和variable型。
答案:正确

25.Verilog HDL中实数型和字符串型常量是可以综合的。
答案:错误

26.行为描述就是对设计实体的数学模型的描述,其抽象程度

相关文档