文档库 最新最全的文档下载
当前位置:文档库 › 数字电路及设计实验

数字电路及设计实验

数字电路及设计实验
数字电路及设计实验

常用数字仪表的使用

实验内容:

1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。

2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。

峰峰值(Vpp),最大值(Vmax),最小值(Vmin),

幅值(Vamp),周期(Prd),频率(Freq)

顶端值(Vtop),底端值(Vbase),过冲(Overshoot),

预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值

上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width),

负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。

3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低

电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。

请采用函数信号发生器输出一个TTL信号,要求满足如下条件:

①输出高电平为3.5V,低电平为0V的一个方波信号;

②信号频率1000Hz;

在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目)

(本实验内容选作)

一、实验目的

(1)深刻理解集成逻辑门主要参数的含义和功能。

(2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。

二、实验设备与器件

本实验设备与器件分别是:

实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具;

实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目

1.TTL 与非门逻辑功能测试

按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。

2.TTL 与非门直流参数的测试

测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。

(1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。

(2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。

(3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。

(4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。

表1-1 与非门功能测试表

图1-1 I CCL ,I iL 和I iH 测试电路 图1-2 电压传输特性测试电路

表1-2

与非门电压传输特性测试表

(5)扇出系数N O 。测试条件:所有输入端悬空,负载R L 为可变电阻。测试电路按图1-3连接。调节R L ,使输出电压V OL =0.4V ,测出此时的I OL ,再按下式:

N O =I Ol /I iL

求得N O 。

3.平均延迟时间t pd 的测试

现一般采用环形振荡器法测t pd ,测试原理电路及波形如图1-4(a )和(b )所示。图1-4(a )中与非门1、与非门2为标准门的t pd1和t pd2是已知的(可预先在高精度的双踪示波器上测出),与非门(3)是被测门。三个与非门构成一个环形振荡电路。点(3)和点(3 )的波形是同一波形,由图1-4(b )可知,振荡波形的周期为:

图1-4 平均延迟时间测试电路及波形

T =t PHL1+t PHL2+t PHL3+t PLH1+t PLH2+t PLH3=2t pd1+2t pd2+2t pd3 (在一个振荡周期内每个门经过两次延迟时)

图1-3 扇出系数测试电路

从数字频率计上可以读出振荡频率f (也可用示波器测出周期T ),即可算出被测门的平均延时时间为:

T =1/f =2(t pd1+t pd2)+2t pd3 t pd3=1/2f -(t pd1+t pd2)

或者 t pd3=T /2-(t pd1+t pd2)

4.CMOS 或非门电路的测试(注意CMOS 器件使用规则)

(1)传输特性测量

① 调节电位器W ,选择若干个输入电压值,测量相应的输出电压值,然后由测得的数据作出曲线,并从曲线中求得V oH 、V oL 、V on 和V oFF 等参数值。注意,测量输出端的电压时,要选用高阻抗的直流电压表,最好用直流数字电压表。通常取V on 为0.1V oH 时对应的输入电平值,V oFF 为0.9V OH 对应的输入电平值。 ② 将两个输入端并联在一起,重复上述测试,比较两种情况下电压传输特性和噪声容限的差异。

③ 测试电源电压的影响:将V DD 依次调节至5V

和15V ,观察电路的逻辑功能以及输出高电平V oH 值。

(2)逻辑功能测试

选CC4001按图1-5电路接线。断开与电位器W 连接的输入端和接地输入端,在两个输入端分别送入表1-3中所列出的输入状态,测试其输出相对应的逻辑值。

五、预习要求

(1)阅读TTL 与非门主要参数的含义及CMOS 门电路的特点。

(2)熟悉CMOS 电路和TTL 电路的使用规则。 (3)设计实验电路,提出器件清单。 (4)拟定实验方案和调测步骤。

图1-5 CMOS 门传输特性测试电路图 表1-3 或非门功能测试表

三态门、OC 门的功能测试及其应用(含2个实验项目)

(本实验内容选作) 一、实验目的

(1)熟悉OC 门和三态门的功能及应用。 (2)掌握OC 门负载电阻选择方法。 二、实验设备与器件

本实验的实验设备和器件为:

实验设备:自制数字实验平台、双踪示波器、直流稳压电源、脉冲信号发生器、万用表及工具;

实验器件:74LS20,74LS00,74LS01,74LS05,CC4011,CC4069和电阻若干。

三、实验项目 1.OC 门实验

(1)OC 门“线与”电路功能测试:将两个OC 门进行“线与”连接,以驱动三个TTL 与非门,如图2-1所示。E C =+5V ,要求V OH =3.6V ,V OL =0.3V ,工作速度无严格要求。试在负载电阻允许值范围,选取R L 值接入电路,并测试其逻辑功能,列表记录测试结果。

(2)用实验方法确定R Lmax 和R Lmin ,要求V OH =3.6V ,V OL =0.3V 。实验电路按图2-2连接,加+5V 电源后,调节电位器W ,先使电路输出为高电平(即F=3.6V ),测得此时的R L 值为R Lmax 。再使电路输出为低电平(即F=0.3V ),测得此时的R L 值为R Lmin 。

(3)用OC 电路实现TTL 与非门驱动CMOS 与非门(CC4011)的电平转换电路。取V DD =10V ,确定电阻值接入电路,然后在输入端加一个方波信号(f i =1kHz ),用示波器观察A 点、B 点、C 点的波形幅度值的变化。

图2-1 OC 门“线与”功能测试电路

四、预习要求

(1) 阅读OC 门和三态门的工作原理,根据任务要求计算R L 的取值范围(即R Lmax

R Lmin)。

(2)设计实验电路,提出器件清单。

(3)拟定实验方案和调测步骤。

组合逻辑电路的设计及功能测试(含5个实验项目)

一、实验目的

(1)掌握组合逻辑电路的设计方法及功能测试方法。

(2)观察组合逻辑电路的冒险现象。

(3)熟悉消除冒险现象的常用方法。

二、实验设备与器件

本实验的设备和器件如下:

实验设备:自制数字实验平台、双踪示波器、脉冲信号发生器、万用表及工具;

实验器件:74LS00,74LS10,74LS20,74LS04,74LS32。

三、实验项目

1. 用TTL与非门设计一个3人控制表决器。3人表决的结果用指示灯显示,多数赞成则

指示灯亮,反之,则灯不亮。

2. 设计一个全加器。

3. 设计一个四舍五入电路,输入信号为 8421BCD

4. 设计一个组合逻辑电路,它接收3位二进制数

B2B1B0,仅当B2B1B0对应的十进制数大于2小于6时,

输出Y才为1。

5. 按表3-1设计一个组合逻辑电路。

①设计要求:输入信号仅提供原变量,反变量由

电路自行产生,给定与非门为74LS00、74LS20,画出

逻辑图。

②搭试电路,进行静态测试,验证逻辑功能,记

录测试结果。

③分析输入端D、C、B各处于什么状态下能观察

到输入端A信号变化时产生的冒险现象。

④在A端输入f =100kHz~1MHz的方波信号,观

察电路的冒险现象,记录A和F点的工作波形图。

⑤观察:用增加校正项的方法,消除由输入端A信号变化所引起的冒险现象。画出此

时的电路图,并记录消除冒险后A和F点的波形图。

四、预习要求

(1)必须在预习报告中写出设计全过程,画出设计电路图。

(2)什么叫冒险现象?如何判断一个组合逻辑电路中是否存在冒险现象?

(3)设计实验电路,提出器件清单。

(4)拟定实验方案和调测步骤。

译码器及其应用(含4个实验项目)

一、实验目的

(1)掌握译码器功能测试方法和灵活应用。

(2)熟悉多位译码显示电路的设计方法及工作原理。

二、实验设备与器件

本实验的设备与器件如下:

实验设备:自制数字实验平台、双踪示波器、直流稳压电源、万用表及工具;

实验器件:74LS00,74LS48,BS201/202等。

三、实验任务

1.七段显示译码器74LS48的功能测试

实验电路按图4-1连接。测试时,各输入端按表4-1中相应状态输入信号。观察各输出情况,列表记录并将结果与给出的功能表做比较。

2.采用TTL与非门设计一个输入两位二进制码,显示十进制数的七段显示译码器(要

求使用共阴发光二极管作为显示器)。

3.实验电路示意图如图4-2所示。A3作为数据输入端,A0、A1、A2作为地址。当

A0A1A2=000~111时,测试相应的Q0~Q7的输出。

图4-1 74LS48功能测试连线图图4-2 74LS42 用作数据分配器

①A3端输入秒脉冲(周期T≈1秒)信号,列表整理测试结果。

②A3端输入约2kHz连续脉冲,观察并记录A0A1A2的输入、输出波形。

4.采用74LS48和共阴数码管构成一个多位显示电路,并按以下要求完成实验。

分别按下列要求输入信号,观察记录其相应的显示情况,并分析所观察到的现象产生的原因。

①LT=1,BI=1,IC1、IC6的DCBA输入均为0,IC2、IC3、IC4、IC5的DCBA输入不为全0;

②LT=1,BI=1,IC1,IC2、IC5、IC6的DCBA输入均为0,IC3、IC4的DCBA输入不为全0;

③LT=1,BI=1,IC1~IC6的DCBA输入均为0。

四、预习要求

(1)熟悉74LS48的功能和使用方法,拟好实验电路和记录表格。

(2)复习译码显示原理和数据分配器的工作原理。

(3)设计实验电路,提出器件清单。

(4)拟定实验方案和调测步骤。

数据选择器及应用(含6个实验项目)

一、实验目的

(1)掌握中规模集成数据选择器的逻辑功能及其测试方法。 (2)熟悉数据选择器的应用。 三、实验设备与器件

本实验设备和器件如下:

实验设备:自制数字实验平台、双踪示波器、万用表及工具; 实验器件:74LS151,74LS153,74LS390和74LS00等。 四、实验任务

1. 按表5-1测试74LS151的逻辑功能,在测试中注意E (使能端)的作用。

2. 采用74LS151和74LS153,并利用降维图法实现下列逻辑函数:

F 1=ABD +BCD +ACD +ABC (5-1)

F 2=A B C+A B C +A BC+AB C (5-2)

F 3=A BC+A B C+AB C +ABC

(5-3)

3. 用74LS153扩展成八选一的电路。

4. 用数据选择器和与非门实现8421码转换成5421码中权值为2的码位变换。

5. 设计一个多功能电路,其功能如表5-2所示,选用一片八选一数据选择器和与非门

实现电路。

(6)用74LS151八选一电路和74LS390组成一个图形发生器。 由74LS390产生一个固定周期的选择信号,只要将D 0~D 7置成不同的电平,在输出端Q 就会输出不同波形,若假定D 0D 3D 4D 6为“1”,

D 1D 2D 5D 7为“0”,观察Q 端波形。

四、预习要求

表5-1

表5-2

(1)熟悉74LS151和74LS153的工作原理及使用方法。(2)根据实验内容要求,设计实验电路,提出器件清单。(3)拟定实验方案和调测步骤。

集成触发器(含6个实验项目)

一、实验目的

(1)掌握用与非门组成的基本RS 触发器的特征。

(2)掌握集成JK 触发器、D 触发器的逻辑功能和使用方法。 (3)熟悉各种触发器的应用。 二、实验设备及器件

本实验的设备及器件如下:

实验设备:自制数字实验平台、双踪示波器、万用表; 实验器件:74LS00,74LS73,74LS74,74LS373等。 三、实验任务

1. 如图6-1,用与非门组成一个基本RS 触发器,测试其

逻辑功能,将结果填入表6-1中。

2. 测试JK 触发器(74LS73)的逻辑功能,将测试结果

填入表6-2中。

3. 用74LS73设计一个异步四进制计数器,并用双踪示波器观察输入输出波形。(CP 、

1Q 、2Q )

4. 由D 触发器和按钮开关S 组成的电路图如图6-2

所示,测试其输入CP 和输出Q1,U0(Q2)的对应波形图,并说明此电路的逻辑功能。

5. 试设计一电路,将D 触发器(74LS74)转换为

JK 触发器。

6. 测试74LS373的逻辑功能。 四、预习要求

(1)熟悉各类触发器的逻辑功能。

(2)了解各类触发器之间的类型转换方法。

图6-1 基本RS 触发器

图6-2

表6-1 基本RS 触发器真值表 表6-2 JK 触发器功能测试表

注:Q 端起始状态Q 仅为第一个CP 信号加入前的原态。

移位寄存器及移存型计数器的应用(含3个实验项目)

一、实验目的

(1)掌握中规模集成电路74LS194四位双向移位寄存器的逻辑功能。

(2)熟悉74LS194的应用。

(3)熟悉实用性移存型计数器的逻辑设计方法。

二、实验设备与器件

本实验设备与器件如下:

实验设备:自制数字实验平台、示波器、万用表及常用工具;

实验器件:四位双向移位寄存器74LS194一片、与非门74LS00,74LS10各一片。

四、实验任务

1.测试四位双向移位寄存器74LS194的逻辑功能,测试结果填入表7-1中。

表7-1 74LS194功能测试表

电路的全部状态,观测并画出输入与输出波形(CP,Q0,Q1,Q2,Q3)。

3.二进制数码的串←→并转换及传输

令74LS194的Q0为最低位,Q3为最高位,串行输入或输出一个四位二进制数。

①串行输入、并行输出:设串行输入为0101。先用右移方式,后用左移方式,实现并行输出。

②并行输入、串行输出:设并行输入1001。采用左移方式,实现串行输出。

③二进制数码在两片74LS194中传输:任选一组四位二进制数码,在图7-2所示电路中实现数据传输。要求所选的代码“1”在八位逻辑指示中,按环形移位显示。

四、预习要求

(1)熟悉74LS194的工作原理。

(2)复习环形计数器的自启动反馈逻辑设计方法,按实验内容画出实验电路。

(3)设计实验电路,提出器件清单。

(4)拟定实验方案和调测步骤。

计数器及其应用(含5个实验项目)

一、实验目的

(1)熟悉同步、异步计数器的工作原理及应用。 (2)掌握任意进制计数器的设计方法。 二、实验设备与器件

本实验的设备与器件如下:

实验设备:自制数字实验平台、双踪示波器及常用仪表和工具;

实验器件:74LS390,74LS00,74LS162A ,74LS192等。

三、实验任务 1.

将计数器(74LS390)的Q A 端与CP B 端相连,测试其逻辑功能,结果填入表8-1中,然后,用示波器观测CP ,Q A ,Q B ,Q C

及Q D 的波形并与理论分析的工

作波形相比较,若有明显不同,

必须分析原因,查找故障,直至

正常。

2. 用74LS390和与非门74LS00设计一个模24的计数器,用灯显区LED 显示计数器状态并记录,观察计数器输入输出波形。

3. 用74LS162A 设计一个模8的同步计数器,计数状态从0001开始。

4. 设计模60计数器,并用显示译码器、七段LED 数码管配合显示计数过程(时钟脉冲频率用1~2Hz )。

5. 用74LS192设计一个模11的减法计数器,用灯显区LED 显示计数器状态并记录,观察计数器输入输出波形。

五、预习要求

(1)根据指定的任务和要求设计电路,画出逻辑图及理论分析的工作波形,以便与实验波形比较。

(2)设计实验电路,提出器件清单。 (3)拟定实验方案和调测步骤。

表8-1 74LS390逻辑功能测试表

脉冲信号的产生与整形电路(含3个实验项目)

一、实验目的

1.掌握脉冲信号的产生与整形方法。

2.熟悉输出波形与定时元件RC的关系。

3.熟悉改善波形上升沿的方法。

二、实验设备与器件

本实验的设备与器件如下:

实验设备:自制数字实验平台、双踪示波器、直流稳压电源、万用表及工具;

实验器件:74LS00,74LS390,晶体2MHz,电阻,电容,电位器R=1kΩ等若干。

三、实验项目

1.用与非门、电阻组成一个2MHz的晶体振荡电路,并用74LS390设计100分频输出电路。

用双踪示波器观察并画出两级十分频波形图(1CPA,1QD,2CP A,2QD),并标出振荡

波形的周期。

2.用集成与非门构成的环形多谐振荡器性能测试。

①实验电路如图9-2所示。

②用示波器显示并画出A,B,D,E各点和输出V0的波形。

③将电位器R从大到小和从小到大旋动。观察V0的脉宽t p和周期T随R的变化情况,做出定性的结论。当R旋到最大(R=1kΩ)时,用示波器测出V0的周期T并与理论估算T 值进行比较。

3.设计一个用与非门组成的自激多谐不对称振荡器,要求其工作频率为10kHz。通过实验调

整元件参数。

四、预习要求

(1)根据所给题目指标,设计并画好实验电路,提出器件清单。

(2)考虑电路中各点波形对应处的坐标象限,拟定坐标轴(输入、输出波形的坐标轴必须上下对齐)。

(3)拟定实验方案和调测步骤。

集成定时器555及其应用(含3个实验项目)

一、实验目的

(1)熟悉集成定时器555电路原理及其功能。

(2)掌握集成定时器555电路的典型应用。

二、实验设备及器件

本实验的设备及器件如下:

实验设备:双踪示波器、自制数字实验平台、万用表;

实验器件:集成定时器555、74LS390。

三、实验项目

1.用集成定时器555设计的自激多谐振荡电路如

图10-1所示,按表10-1中所给的元件值计算出

振荡波形的参数,并用示波器测试出振荡电路中

a,b,c各点的波形,计算公式为:

脉冲宽度T P=0.7(R1+R2)C

脉冲间隙T d=0.7R2C

脉冲周期T=0.7(R1+2R2)C

图10-1 555自激多谐振荡器555电路要求R1和R2均应大于或等于1kΩ,但R1+R2

应小于或等于3.3MΩ。

表10-1

2.图10-2构成间隙声响发生器,调节元件W使振荡器频率为1kHz,并经5分频

后输出,以1Hz信号控制振荡器的复位端R,当1Hz/S信号为高电平时,振荡

器振荡,当1Hz/S信号为低电平时,振荡器停振,这样扬声器发出间隙声响。测

出A,A′点波形图。

3.用集成定时器555构成单稳态触发器,用无抖动开关电路(采用单次CP信号)

实现触发,以控制其他自动化系统工作,要求输出脉宽(即定时时间)为1ms,

1s,试确定相应的电阻、电容值,用示波器观测其输出波形,并与理论值比较分

析。要求有设计全过程说明(含参数估算)。

四、预习要求

(1)单稳态触发器有哪些特点?

(2)熟悉集成定时器555构成的多谐振荡器输

出信号的脉宽T WH,周期T和频率f的计算方法。

(3)设计实验电路,提出器件清单。

(4)拟定实验方案和调测步骤。

图10-3 间隙声响发生器

大规模集成存储器EEPROM的应用(含3个实验项目)

一、实验目的

(1)了解大规模集成存储器EEPROM。

(2)通过实验熟悉它们的工作特性,使用方法及其应用。

二、实验原理

EEPROM (Electrically Erasable Programmable Read-Only Memory),电可擦可编程只读存储器——一种掉电后数据不丢失的存储芯片,是可用户更改的只读存储器(ROM),其可通过高于普通电压的作用来擦除和重编程(重写)。EEPROM一般用在即插即用;常用在接口卡中,用来存放硬件设置数据;也常用在防止软件非法拷贝的"硬件锁"上面。

ATMEL 并行接口EEPROM程序存储器芯片——AT28C64,是一种采用CMOS工艺制成的8K×8位28引脚的可用电擦除可编程只读存储器。

(1)A T28C64引脚排列如图11-1所示。

图11-1 A T28C64引脚排列图表11-1 A T28C64引脚功能

(2)A T28C64的引脚功能如表11-1所列。

(3

Notes: 1. X can be V IL or V IH.

2. Refer to the “AC Write Waveforms” diagrams in this datasheet.

3. V H = 12.0V 0.5V.

三、实验设备与器件

本实验的设备与器件如下:

实验设备:自制数字实验平台,双踪示波器,编程器; 实验器件:AT28C64,74LS390,74LS00等。 四、实验任务

1. 设计一个八路彩灯控制电路,任务要求: ① 设计一个模N 大于或等于20的计数器;

② 自行设计输出花型,列出花型真值表,根据选定的花型列出输出状态编码表;

③画出总体电路图。

2. 用AT28C64实现一个输出序列为011000111的序列信号发生器。

3. 设计一个八位流水灯电路。

五、采用编程器对EEPROM 进行读写操作

编程器主要是基于AT89C51(A T89C51是一种带4K 字节闪烁可编程可擦除只读存储器(FPEROM —Falsh Programmable and Erasable Read Only Memory )的低电压、高性能CMOS8位微处理器,俗称单片机)实现对AT28C64的编程,操作步骤如下:

(1) 把EEPROM 器件插在IC 插座上(注意芯片的方向)。 (2) 屏幕上显示“Insert the chip and press any key !”,按任意键,屏幕显示“K0:Read ;

K1:Write ;K2:Erase ”(按K0键进入读操作,按K1键进入写操作,按K2键为擦除芯片)。

(3) 按K2键擦除芯片,芯片擦除进行时,屏幕显示“Erasing ”,擦除完毕后,EEPROM

芯片即为空(EEPROM 中的内容全是FFH )。

(4) 写入内容。按K1键进入写操作,屏幕显示首地址0000H ,按K03键,输入第一

单元的内容,按K03键,进入下一个地址单元,按K03键,输入第二单元的内容,依次将所要写入的N 单元的内容输完,按K03键,进入第N+1地址单元,连续按K00键返回。

(5) 检查所写内容。按K0键进入读操作,按K03依次检查所写内容。如果所写内容

有错,可进入写操作进行修改,修改时,可直接键入需要修改的单元地址,然后修改相应内容。

(6) 取下芯片。

六、预习及思考题

(1)阅读AT28C64的工作原理和各引脚功能。 (2)设计并确定实验电路,提出器件清单。 (3)拟定实验方案和调测步骤。

(4)RAM 和EPROM 、EEPROM 各有何特点?

图11-2 八路彩灯控制电路框图

66 数字电路综合设计

6.6 数字电路综合设计 6.6.1汽车尾灯控制电路 1. 要求:假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟),要求汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。2.电路设计: (1)列出尾灯和汽车运行状态表如表6.1所示 (2)总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯和各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。 由表6-2得总体框图如图6.6-1所示 图6.6-1汽车尾灯控制电路原理框图

(3)单元电路设计 三进制计数器电路可根据表6-2由双J—K触发器74LS76构成。 汽车尾灯控制电路如图6.6-2所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个和门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1” 0 无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LSl38对应的输出端 Y、5Y、6Y依次为0有 4 效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 对于开关控制电路,设74LSl38和显示驱动电路的使能

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

数字电路设计实例

数字电路综合设计案例 8.1 十字路口交通管理器 一、要求 设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。 二、技术指标 1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。 2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意, 执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。 3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。 三、设计原理和过程: 本课题采用自上而下的方法进行设计。 1.确定交通管理器逻辑功能 ⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能: ①甲道通行,乙道禁止通行; ②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过; ③甲道禁止通行,乙道通行; ④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。 ⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。 ⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后, 阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。 设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。 由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

数字电路与逻辑设计实验实验四

中山大学南方学院 电气与计算机工程学院 课程名称:数字电路与逻辑设计实验实验题目:译码显示电路

附:实验报告 专业:电子信息科学与技术年级:18 完成日期:2020年7月05日学号:182018010 姓名:叶健行成绩: 一、实验目的 (一)掌握中规模集成译码器的逻辑功能和使用方法。 (二)熟悉数码管的使用。 二、实验原理 (一)数码显示译码器 1、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图1 (a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 (a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图1 LED 数码管 2、BCD 码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。图2为74LS48引脚排列。 其中 A 、B 、C 、D — BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 (二)扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds 的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片 LED

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

电路仿真实验报告

本科实验报告实验名称:电路仿真

实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,

将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

确定版的50个典型经典应用电路实例分析

电路1简单电感量测量装置 在电子制作和设计,经常会用到不同参数的电感线圈,这些线圈的电感量不像电阻那么容易测量,有些数字万用表虽有电感测量挡,但测量范围很有限。该电路以谐振方法测量电感值,测量下限可达10nH,测量范围很宽,能满足正常情况下的电感量测量,电路结构简单,工作可靠稳定,适合于爱好者制作。 一、电路工作原理 电路原理如图1(a)所示。 图1简单电感测量装置电路图 该电路的核心器件是集成压控振荡器芯片MC1648,利用其压控特性在输出3脚产生频 值,测量精度极高。 率信号,可间接测量待测电感L X BB809是变容二极管,图中电位器VR1对+15V进行分压,调节该电位器可获得不同的电压输出,该电压通过R1加到变容二极管BB809上可获得不同的电容量。测量被测电感L X 时,只需将L X接到图中A、B两点中,然后调节电位器VR1使电路谐振,在MC1648的3脚会输出一定频率的振荡信号,用频率计测量C点的频率值,就可通过计算得出L 值。 X 电路谐振频率:f0=1/2π所以L X=1/4π2f02C LxC 式中谐振频率f0即为MC1648的3脚输出频率值,C是电位器VR1调定的变容二极管的电容值,可见要计算L X的值还需先知道C值。为此需要对电位器VR1刻度与变容二极管的对应值作出校准。 为了校准变容二极管与电位器之间的电容量,我们要再自制一个标准的方形RF(射频)电感线圈L0。如图6—7(b)所示,该标准线圈电感量为0.44μH。校准时,将RF线圈L0接在图(a)的A、B两端,调节电位器VR1至不同的刻度位置,在C点可测量出相对应的测量值,再根据上面谐振公式可算出变容二极管在电位器VR1刻度盘不同刻度的电容量。附表给出了实测取样对应关系。 附表振荡频率(MHz)98766253433834

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

北邮-数字电路与逻辑设计实验-实验报告(上)

北京邮电大学电路实验中心<数字电路与逻辑设计实验(上)> 实 验 报 告 班级: xxxx 学院: xxx 实验室: xxx 审阅教师:姓名(班内序号): xxx 学号: xxx 实验时间: xxx 评定成绩:

目录 实验1 Quartus II 原理图输入法设计与实现 (3) 一、实验目的 (3) 二、实验所用器材 (3) 三、实验任务要求 (3) 四、实验原理图 (3) 五、实验仿真波形图及分析 (4) 实验2 用VHDL 设计与实现组合逻辑电路 (5) 一、实验目的 (5) 二、实验所用器材 (5) 三、实验任务要求 (5) 四、VHDL代码 (5) 五、实验仿真波形图及分析 (7) 实验3 用VHDL 设计与实现时序逻辑电路 (8) 一、实验目的 (8) 二、实验所用器材 (8) 三、实验任务要求 (8) 四、模块端口说明及连接图 (8) 五、VHDL代码 (9) 六、实验仿真波形图及分析 (10) 实验4 用VHDL 设计与实现数码管动态扫描控制器 (10) 一、实验目的 (10) 二、实验所用器材 (11) 三、实验任务要求 (11) 四、模块端口说明及连接图 (11) 五、VHDL代码 (11) 六、实验仿真波形图及分析 (15) 故障及问题分析 (16) 总结和结论 (17)

实验1 Quartus II 原理图输入法设计与实现 一、实验目的 (1)熟悉用Quartus II原理图输入法进行电路设计和仿真; (2)掌握Quartus II 图形模块单元的生成与调用; (3)熟悉实验板的使用。 二、实验所用器材 (1)计算机; (2)直流稳压电源; (3)数字系统与逻辑设计实验开发板。 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、实验原理图 (1)半加器原理图 (2)全加器原理图

数字电路与逻辑设计实验总结报告

第二次实验是Quartus11原理图输入法设计,由于是第一次使用Quartus11软 件,实验中遇到了不少问题,总结起来主要有以下几个: (1)在创建工程并且编译通过之后得不到仿真波形 解决方法:经过仔细检查,发现在创建符号文件时,未对其重新命名,使得符号文件名与顶层文件的实体名一样。在改变符号文件名之后成功的得到了仿真波形。 (2)得到的仿真波形过于紧密不便于观察 解决方法:重新对仿真域的时间进行设定,并且对输入信号的周期做相应的调整,最终得到了疏密有致的仿真波形。 实验总结及心得体会 通过本次实验我初步掌握了Quartus11的使用方法,并且熟悉了电路板的使用。在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。 第三次实验是用VHDL语言设计组合逻辑电路和时序逻辑电路,由于Quartus11软件在之前已经使用过,所以本实验的主要任务就是编写与实验要求相对应的VHDL程序。 总体来说此次实验比较顺利,基本没有遇到什么问题,但有几点需要特别注意。首先是要区分实体名称和结构体名,这一点是程序编写的关键。其次在时序逻辑电路的设计实验中时钟的设置很关键,设置不当的话仿真波形可能不正确。 通过本次实验我初步学会用VHDL语言编写一些简单的程序,同时也进一步熟悉了Quartus11软件的使用。 实验八彩灯控制电路设计与实现 一、实验目的 1、进一步了解时序电路设计方法

实验三 Matlab的数字调制系统仿真实验(参考)

成都理工大学实验报告 课程名称:数字通信原理 姓名:__________________学号:______________ 成绩:____ ___ 实验三Matlab的数字调制系统仿真实验(参考) 1 数字调制系统的相关原理 数字调制可以分为二进制调制和多进制调制,多进制调制是二进制调制的推广,主要讨论二进制的调制与解调,简单讨论一下多进制调制中的差分相位键控调制(M-DPSK)。 最常见的二进制数字调制方式有二进制振幅键控(2-ASK)、移频键控(2-FSK)和移相键控(2-PSK 和2-DPSK)。下面是这几种调制方式的相关原理。 1.1 二进制幅度键控(2-ASK) 幅度键控可以通过乘法器和开关电路来实现。载波在数字信号1 或0 的控制下通或断,在信号为1 的状态载波接通,此时传输信道上有载波出现;在信号为0 的状态下,载波被关断,此时传输信道上无载波传送。那么在接收端我们就可以根据载波的有无还原出数字信号的1 和0。 幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波在二进制调制信号控制下通断,此时又可称作开关键控法(OOK)。多电平MASK调制方式是一种比较高效的传输方式,但由于它的抗噪声能力较差,尤其是抗衰落的能力不强,因而一般只适宜在恒参信道下采用。 2-ASK 信号功率谱密度的特点如下: (1)由连续谱和离散谱两部分构成;连续谱由传号的波形g(t)经线性调制后决定,离散谱由载波分量决定; (2)已调信号的带宽是基带脉冲波形带宽的二倍。 1.2 二进制频移键控(2-FSK) 数字频率调制又称频移键控(FSK),二进制频移键控记作2FSK。数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。2FSK

中山大学数字电路与逻辑设计实验报告

中山大学数字电路与逻辑设计实验报告 院系信息科学与技术学院学号 专业计算机科学类实验人 3、实验题目:AU(Arithmetic Unit,算术单元)设计。 实验内容: 设计一个半加半减器,输入为 S、A、B,其中S为功能选择口。当S=0时,输出A+B及进位;当S=1时,输出A-B及借位。 S 输入1 输入2 输出Y 进/借位Cn 0 A B A+B 进位 1 A B A-B 借位 利用三种方法实现。 (1)利用卡诺图简化后只使用门电路实现。 (2)使用74LS138实现。 (3)使用74LS151实现,可分两次单独记录和/差结果、进位借位结果或使用两块74LS151实现。 实验分析: 真值表 S A B Y Cn 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 0 0 1 0 1 1 1 1 1 0 1 0 1 1 1 0 0 卡诺图: S AB 0 1 通过卡诺图可得:Y=A B+A B 00 01 11 100 0 1 1 0 0 1 1

S AB 0 1 00 Cn=AB S +A BS 01 =(A S +A S)B 11 10 实验设计: (1)利用门电路实现。 ①利用74LS197的八进制输出端Q1、Q2、Q3作为B 、A 、S 的输入。 ②用异或门74LS86实现输出Y. ③用74LS86实现A ⊕B ,再用74LS08与B 实现与门。 (2)利用74LS138实现 ①将74LS197的Q3、Q2、Q1作为74LS138的S2、S1、S0输入,G2A 、G2B 接低电平,G1接高电平。 ②将74LS138的Y1、Y5、Y2、Y6利用74LS20实现与非门作为输出Y 。 ③ 将74LS138的Y3、Y5利用74LS00实现与非门作为输出Cn 。 0 0 0 1 1 0 0 0

数字电路仿真实验报告

数字逻辑与CPU 仿真实验报告 姓名: 班级: 学号:

仿真实验 摘要:Multisim是Interactive Image Technologies公司推出的以Windows为基础的仿真工具,具有丰富的仿真分析能力。本次仿真实验便是基于Multisim软件平台对数字逻辑电路的深入研究,包括了对组合逻辑电路、时序逻辑电路中各集成元件的功能仿真与验证、对各电路的功能分析以及自行设计等等。 一、组合逻辑电路的分析与设计 1、实验目的 (1)掌握用逻辑转换器进行逻辑电路分析与设计的方法。 (2)熟悉数字逻辑功能的显示方法以及单刀双掷开关的应用。 (3)熟悉字信号发生器、逻辑分析仪的使用方法。 2、实验内容和步骤 (1)采用逻辑分析仪进行四舍五入电路的设计 ①运行Multisim,新建一个电路文件,保存为四舍五入电路设计。 ②在仪表工具栏中跳出逻辑变换器XLC1。 图1-1 逻辑变换器以及其面板 ③双击图标XLC1,其出现面板如图1-1所示 ④依次点击输入变量,并分别列出实现四舍五入功能所对应的输出状态(点击输出依 次得到0、1、x状态)。 ⑤点击右侧不同的按钮,得到输出变量与输入变量之间的函数关系式、简化的表达式、 电路图及非门实现的逻辑电路。 ⑥记录不同的转换结果。

(2)分析图1-2所示代码转换电路的逻辑功能 ①运行Multisim,新建一个电路文件,保存为代码转换电路。 ②从元器件库中选取所需元器件,放置在电路工作区。 ?从TTL工具栏选取74LS83D放置在电路图编辑窗口中。 ?从Source库取电源Vcc和数字地。 ?从Indictors库选取字符显示器。 ?从Basic库Switch按钮选取单刀双掷开关SPD1,双击开关,开关的键盘控制设 置改为A。后面同理,分别改为B、C、D。 图1-2 代码转换电路 ③将元件连接成图1-2所示的电路。 ④闭合仿真开关,分别按键盘A、B、C、D改变输入变量状态,将显示器件的结果填 入表1-1中。 ⑤说明该电路的逻辑功能。 表1-1 代码转换电路输入输出对应表

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

(完整版)基于QuartusII的数字电路仿真实验报告手册

数字电路仿真实验报告 班级通信二班姓名:孔晓悦学号:10082207 作业完成后,以班级为单位,班长或课代表收集齐电子版实验报告,统一提交. 文件命名规则如“通1_王五_学号” 一、实验目的 1. 熟悉译码器、数据选择器、计数器等中规模数字集成电路(MSI)的逻辑功能及其使 用方法。 2. 掌握用中规模继承电路构成逻辑电路的设计方法。 3. 了解EDA软件平台Quartus II的使用方法及主要功能。 二、预习要求 1. 复习数据选择器、译码器、计数器等数字集成器件的工作原理。 2. 熟悉所有器件74LS153、74LS138、74LS161的功能及外引线排列。 3.完成本实验规定的逻辑电路设计项目,并画出接线图,列出有关的真值表。 三、实验基本原理 1.译码器 译码器的逻辑功能是将每个输入的二进制代码译成对应的高、低电平信号。译码器按功能可分为两大类,即通用译码器和显示译码器。通用译码器又包括变量译码器和代码变换译码器。 变量译码器是一种完全译码器,它将一系列输入代码转换成预知一一对应的有效信号。 这种译码器可称为唯一地址译码器。如3线—8线、4线—16线译码器等。 显示译码器用来将数字或文字、符号的代码译成相应的数字、文字、符号的电路。如BCD-七段显示译码器等。 2.数据选择器 数据选择器也陈伟多路选择器或多路开关,其基本功能是:在选择输入(又称地址输入)信号的控制下,从多路输入数据中选择某一路数据作为输出。因此,数据选择器实现的是时分多路输入电路中发送端电子开关的功能,故又称为复用器。一般数据选择器有n 个地址输入端,2n错误!未找到引用源。个数据输入端,一个数据输出端或反码数据输出端,同时还有选通端。目前常用的数据选择器有2选1、4选1、8选1、16选1等多种类型。 3.计数器 计数器是一个庸医实现技术功能的时序部件,它不仅可以用来对脉冲计数,还常用作数字系统的定时、分频、执行数字运算以及其他一些特定的逻辑功能。 74LS161是4位同步二进制计数器,它除了具有二进制加法计数功能外,还具有预置数、保质和异步置零等附加功能。 四、实验内容

相关文档
相关文档 最新文档