文档库 最新最全的文档下载
当前位置:文档库 › 06专升本电气工程-数字电子技术(王树昆)

06专升本电气工程-数字电子技术(王树昆)

06专升本电气工程-数字电子技术(王树昆)
06专升本电气工程-数字电子技术(王树昆)

山东建筑工程学院2006~2007学年第2学期函授转生本科2006 年级电气工程专业自学周历课程名称:数字电子技术

说明:

1. 各章节学习要求分掌握、理解、了解三个层次,详见课程基本要求。

2. 习题作业、测验作业答案可参考本教材配套的《教师手册》杨华主编,高等教育出版社。

《数字电子技术》课程基本要求第2页共2页

1、数字逻辑基础

了解数字电路的特点及其研究的对象和分析方法。

掌握二、十、八、十六进制数制和8421BCD码及其相互转换。

掌握逻辑变量、逻辑函数的概念以及它们之间的关系。

掌握逻辑代数的与、或、非三种基本运算及与非、或非、异或、同或常用运算关系及相应的逻辑表达式、逻辑符号。

初步了解用逻辑函数描述逻辑问题的基本方法。

2、逻辑门电路

理解二极管的开关条件和开关状态下的工作特点,了解限制二极管开关速度的原理——电荷存储效应。

理解BJT在截止、饱和、放大三种工作状态下的主要特点及其开关条件。

理解用二极管和BJT构成简单逻辑与、或、非门电路的逻辑功能。会估算输出高、低电平,并会用真值表、逻辑表达式表示。

正确理解TTL反相器和与非门的电路结构、工作原理,会估算两种稳态下的输出电平,理解其电压传输特性及主要参数的含义,掌握其扇入与扇出数及灌电流与拉电流负载的概念。

理解三态门、OC门的逻辑功能特点,掌握OC门负载电阻的计算。

了解抗饱和TTL电路的工作特点。

了解CMOS逻辑门的工作特点,理解CMOS反相器、与非门、或非门、异或门、传输门的结构及工作原理,掌握其逻辑功能。

理解BiCMOS反相器、或非门的结构及工作原理,掌握其逻辑功能。

理解饱和型负载管NMOS反相器、与非门、或非门的电路结构,掌握其逻辑功能。

会估算简单门电路输出的高、低电平,并会用真值表、逻辑表达式表示其逻辑功能。

了解正负逻辑的概念。

3、组合逻辑电路的分析与设计

掌握逻辑代数的基本恒等式和运算的基本规则,会用代数法化简和变换逻辑函数。

会用卡诺图法化简4变量以下逻辑函数。

了解组合逻辑电路的特点,掌握组合逻辑电路的分析和设计方法。

理解竞争冒险的概念,了解产生竞争冒险的原因和消除方法。

4、常用组合逻辑功能器件

掌握集成优先编码器74148、集成译码器74138的逻辑功能。会用74138产生3变量逻辑函数。理解74138用作数据分配器的工作原理。

掌握集成数据选择器74LS151的逻辑功能。会用74LS151产生3变量逻辑函数。

掌握1位、2位数值比较器的工作原理,理解集成数值比较器74LS85的逻辑功能。

掌握1位半加器和全加器的工作原理和电路组成。了解多位数加法器的工作原理。

5、触发器

掌握基本RS触发器的电路组成和逻辑功能分析,会列真值表和画波形图。

掌握同步RS、JK 触发器;主从RS 、JK触发器(不涉及一次变化现象);边沿D、JK触发器;T、T′触发器的逻辑功能。会列特性表、特性方程、状态转换图、驱动表和画波形图。掌握触发器逻辑功能的转换。

6、时序逻辑电路的分析和设计

了解时序逻辑电路和组合逻辑电路的区别。

掌握时序逻辑电路的分析方法。

理解同步时序逻辑电路的设计方法(不涉及状态化简)。(掌握3、4位二进制同步、异步计数器的设计方法。)

7、常用时序逻辑功能器件

理解异步、同步二进制计数器的组成、工作原理及时序图。

掌握N进制计数器的分析方法。

理解用D、JK触发器组成4位二进制异步计数器及设计同步N进制计数器的方法。

掌握集成计数器74161的逻辑功能。会用74161构成N进制计数器。

了解移位寄存器的工作原理和逻辑功能。

8、半导体存储器

了解静态、动态RAM和ROM的结构及工作原理。掌握RAM存储容量(字长、字数)的扩展。

9、脉冲波形的产生与变换

理解多谐振荡器、单稳态触发器、施密特触发器、555定时器的电路结构及工作原理。

10、数模与模数转换器

理解倒T型电阻网络D/A转换器和并行比较型A/D转换器的电路结构及工作原理。

《数字电子技术》课程设计任务(指导)书

班级:函授专升本06

指导教师:王树昆

题目:交通灯控制器设计

一、设计任务

设计一个主要街道和次要街道十字路口的交通灯控制器。当主要街道绿灯亮6秒时,次要街道的红灯亮;接着主要街道黄灯亮2秒,次要街道的红灯仍然亮;紧接着次要街道的绿灯亮3秒,这时主要街道红灯亮;然后次要街道的黄灯亮1秒,主要街道红灯仍然亮;最后主要街道绿灯亮,次要街道变红灯,依此顺序循环控制。

二、设计原理

根据以上要求可知主要街道从绿灯亮到下一次绿灯亮共需12秒,由上述要求可列出这六个灯的真值表,如表所示,其中MG、MY、MR、CG、CY、CR分别表示主要街道的绿灯、黄灯、红灯,次要街道的绿灯、黄灯、红灯。各灯的逻辑表达式可由卡诺图获得。因为一次循环需要12秒,所以输入端可应用74163芯片来完成时间上的控制。74163与74161一样,也是十六进制同步加法计数器,所不同的是,它的清零端为同步清零方式。

三、设计要求

1. 在EWB电子工作平台上建立预设计电路。

2. 选择EWB软件中的仿真功能,测试电路满足设计要求。

3. 写出设计报告,内容包括:

(1)题目名称。

(2)选定方案的论证和电路的工作原理。

(3)对调试中出现的问题做出分析,从理论和实践的结合上讲清故障原因及改进措施和效果。

(4)将仿真电路图粘贴在word设计报告上。

(5)对设计成果做出评价,说明本设计的特点和存在的问题,提出改进设计意见,有那些收获、体会和建议。

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.wendangku.net/doc/1618314205.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础第五版

数字电子技术基础第五版习题解答: 本书是为配合清华大学电子学教研组编、阎石主编的《数字电子技术基础》(第五版)教材的使用而编写的习题解答。书中除包含有《数字电子技术基础》(第五版)全部习题的详细解答以外,还含有各章习题的分类以及每种类型题目的解题方法和步骤等内容。 数字电子技术基础(第5版): 数字电子技术基础(第5版)》是2006年高等教育出版社出版的图书,作者是阎石、清华大学电子学教研组。 内容简介: 本书是普通高等教育“十五”国家级规划教材。本书以前各版曾分别获得北京市教育教学成果一等奖、国家教委优秀教材一等奖、国家级优秀教材奖。 新版教材是在基本保持第四版教材内容、理论体系和风格的基础上,按照教育部2004年修订的“数字电子技术基础课程教学基本要求”修订而成的。本次修订除改写了部分章节外,还增加了硬件描述语言和EDA软件应用的基础知识。此外,还在多数小节后面增设了复习思考题。为了便于教学,也为了便于读者今后阅读外文教材和使用外文版的EDA软件,书中采用了国际上流行的图形逻辑符号。 全书主要内容有:数制和码制、逻辑代数基础、门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、硬件描述语言、脉冲波形的产生和整形、数-模和模-数转换等共11章。

本书可作为电气信息类、仪器仪表类各专业的教科书,也可供其他相关理工科专业选用以及社会选者阅读。 作者简介: 阎石,清华大学教授、全国高等学校电子技术研究会理事长。1937年生人。1958年毕业于清华大学自动控制系,其后一直在清华大学从事电子技术的教学与科研工作。曾任国家教委工科本科基础课程教学指导委员会第一、二届委员,华北地区高等学校电子技术教学研究会理事长。1989年与童诗白教授等一起获得普通高等学校优秀教学成果国家级特等奖。主编的《数字电子技术基础》第二版获国家教委优秀教材一等奖,第三版获国家优秀教材奖,第四版获北京市教育教学成果一等奖。 主要著作有:《数字电子技术基础》第一、二、三、四版,高等教育出版社分别于1981年、1984年、1989年、1998年出版;《电子技术基础学习指导》,辽宁科技出版社,1985年出版;《数字电子电路》,中央电大出版社,1993年出版;《数字电子技术荩础(第四版)教师手册》,高等教育出版社,2003年出版;《帮你学数字电子技术基础》,高等教育出版社,2004年出版。

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

数字电子技术模拟试题4套

模拟试题一 一、选择填空(每空1分,共20分) 1.纯净的半导体叫()。掺入3价杂质元素形成的半导体叫(),它主要靠导电()。 A.空穴B.本征半导体C.P型半导体D.自由电子 2.PN结正偏时,多子的()运动较强,PN结变薄,结电阻较()。 A.扩散B.漂移C.小D.大 3.三极管有()和()两种载流子参与导电,故称作()极型晶体管;而场效应管称作()极型晶体管。 A.双极B.空穴C.单极D.自由电子 4.负反馈放大电路的含义是()。 A.输出与输入之间有信号通路 B.电路中存在反向传输的信号通路 C.除放大电路之外还有信号通路 D.电路中存在使输入信号削弱的反向传输信号 5.一个放大电路的对数频率特性的水平部分为40dB,当信号频率恰好是上限频率时,实际电压增益为()。 A.43dB B.40dB C.37dB D.3dB 6.通常在下面基本组态放大电路中,输入电阻最大的是();输出电阻最小的是();高频特性最好的电路是()。 A.共射电路B.共集电路C.共基电路D.共源电路 7.集成放大电路采用直接耦合方式的原因是()。 A.便于设计B.放大交流信号C.不易制作大容量电容 8.功率放大电路互补输出级采用共集形式是为了使()。 A.电压放大倍数大B.不失真输出电压大C.带负载能力强 9.欲得到电流-电压转换电路,应在放大电路中引入();欲将电压信号转换成与之成比例的电流信号,应在放大电路中引入()。A.电压串联负反馈B.电压并联负反馈C.电流串联负反馈D.电流并联负反馈 10.为了避免50Hz电网电压的干扰进入放大器,应选用()滤波电路。 A.带阻B.带通C.低通D.有源 11.直流稳压电源的基本组成有变压器、整流、()、稳压。 A.比较B.滤波C.调整 二、判断正误(每题2分,共10分) 1.因为N型半导体的多子是自由电子,所以它带负电。() 2.电路只要满足,就一定会产生正弦波振荡。() 3.放大电路必须加上合适的直流电源才能正常工作。() 4.若放大电路的放大倍数为负,则引入的反馈一定是负反馈。() 5.功率放大电路的最大输出功率是指在基本不失真情况下,负载上可能获得的最大交流功率。() 三、简答题 1.设图3-1中二极管、为理想二极管,判断它们是导通还是截止?输出电压= ?(4分) 2.测得放大电路中晶体管的直流电位如图3-2所示。在圆圈中画出管子,并说明是硅管还是锗管。 四、(6分)根据图4某共射单放电路中三极管的输出特性曲线及交、直流负载线,试求:(1)静态Q点;(2)三极管电流放大系数β;(3)集电极电阻;(4)最大不失真输出电压幅度。

最新数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1F AB =;2 F A B =;3F AB = 真值表如下表所示: A B 1F 2F 3F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m = =∑∏ 2(0,1,2,4)(3,5,6,7)F M m ==∑∏ 真值表如下表所示: 功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。 图(d ):1F AB =;2 F A B =;3F AB = 功能:为一位比较器,AB 时,3F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 100101102103F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1A 0A F 0 0 0x 0 1 1x 1 0 2x 1 1 3x 4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

数字电子技术模拟试题15答案

泰山学院物理与电子工程学院 《数字电子技术》试卷15参考答案与评分标准 一、选择题(每小题 2 分,共 20 分) 二、填空题(每空1分,共 20 分) 1、262.54 B2.B 2、二进制 八进制 十六进制 3、与 或 非 4、)(D C B A '+' 5、2 1 0 6、1 0 0 7、数值比较器 8、1 0 9、6 3 三、化简题(每题 5 分,共 10分) 1、1=+'+'+'+=B A C B A F ……………………………………………(5分) 2、AC AD B A Y ++''= …………………………………………(5分)

四、分析题(第1题5分,后3题每题10分,共35分) 1、 ………………(5分) 2、 …………………………(5分) …………………………(5分) 3、 K=1………………………………………………………(2分) ………………………………………………………………(2分) …………………………………(2分) ……………………………………………(2分) ……………………………………(2分) 4、 AC BC AB BA C BA AC B D A A D A A D A A D A A F ++=+'+'=+'+'+''= 3 0120110100122Q J '=1Q D =)(1211 *1↓''='+'=CLK Q Q Q K Q J Q )(1* 2↑=CLK Q Q

五、设计题(第1题7分,第2题8分,共15分) 1、A 、B 、C 代表三个裁判,通过用1表示,不通过用0表示…………(1分) 1表示成绩有效,0表示成绩无效…………………………………………(1分) 逻辑式F=A+BC ……………………………………………………………(2分) 真值表 ………………………………………………………………(2分) 逻辑图: ………………………………(1分) 2、状态转换图 ……………………………(3分) 电路图 A B C F 1 1 1 1 1 1 0 1 1 0 1 1 0 1 1 1 1 0 0 1 0 1 0 0 0 0 1 0 0 0 0 0

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数电考研阎石《数字电子技术基础》考研真题与复习笔记

数电考研阎石《数字电子技术基础》考研真题与复习 笔记 第一部分考研真题精选 第1章数制和码制 一、选择题 在以下代码中,是无权码的有()。[北京邮电大学2015研] A.8421BCD码 B.5421BCD码 C.余三码 D.格雷码 【答案】CD查看答案 【解析】编码可分为有权码和无权码,两者的区别在于每一位是否有权值。有权码的每一位都有具体的权值,常见的有8421BCD码、5421BCD码等;无权码的每一位不具有权值,整个代码仅代表一个数值。 二、填空题 1(10100011.11)2=()10=()8421BCD。[电子科技大学2009研] 【答案】163.75;000101100011.01110101查看答案 【解析】二进制转换为十进制时,按公式D=∑k i×2i求和即可,再由十进制数的每位数对应写出8421BCD码。 2数(39.875)10的二进制数为(),十六进制数为()。[重庆大学2014研] 【答案】100111.111;27.E查看答案

【解析】将十进制数转化为二进制数时,整数部分除以2取余,小数部分乘以2取整,得到(39.875)10=(100111.111)2。4位二进制数有16个状态,不够4位的,若为整数位则前补零,若为小数位则后补零,即(100111.111)2=(0010 0111.1110)2=(27.E)16。 3(10000111)8421BCD=()2=()8=()10=()16。[山东大学2014研] 【答案】1010111;127;87;57查看答案 【解析】8421BCD码就是利用四个位元来储存一个十进制的数码。所以可先将8421BCD码转换成10进制再进行二进制,八进制和十六进制的转换。(1000 0111)8421BCD=(87)10=(1010111)2 2进制转8进制,三位为一组,整数向前补0,因此(001 010 111)2=(127)8。同理,2进制转16进制每4位为一组,(0101 0111)2=(57)16。 4(2B)16=()2=()8=()10=()8421BCD。[山东大学2015研] 【答案】00101011;53;43;01000011查看答案 【解析】4位二进制数有16个状态,因此可以将一位16进制数转化为4位二进制数,得到(2B)16=(0010 1011)2;八进制由0~7八个数码表示,可以将一组二进制数从右往左,3位二进制数分成一组,得到(00 101 011)2=(53)8;将每位二进制数与其权值相乘,然后再相加得到相应的十进制数,(0010 1011)2=(43)10;8421BCD码是一种二进制的数字编码形式,用二进制编码的十进制代码。因此可以将每位二进制数转化为4位8421BCD码,(43)10=(0100 0011)8421BCD。

相关文档 最新文档