文档库 最新最全的文档下载
当前位置:文档库 › 基于FPGA数字秒表设计实验报告

基于FPGA数字秒表设计实验报告

基于FPGA数字秒表设计实验报告
基于FPGA数字秒表设计实验报告

电子科技大学

标准实验报告实验项目:基于FPGA数字秒表设计

目录

1.秒表设计要求 (1)

2.设计思路 (1)

2.1功能模块 (1)

2.1.1分频器 (1)

2.1.2计数器 (1)

2.1.3数据锁存器 (1)

2.1.4控制器 (1)

2.1.5扫描显示的控制电路 (2)

2.1.6显示电路 (3)

2.1.7按键消抖电路 (3)

3.电路实现 (4)

4.程序仿真 (10)

4.1分频器 (10)

4.1.1计数器电路综合 (11)

4.1.2计数器电路仿真 (11)

4.2同步计数器 (13)

4.2.1计数器实现 (13)

4.2.2计数器仿真 (15)

4.2.3同步计数器电路综合 (17)

4.3按键消抖电路 (18)

4.3.1按键消抖电路实现 (18)

4.3.2按键消抖电路仿真 (18)

4.3.3按键消抖电路综合 (20)

4.4八段译码器 (20)

4.4.1八段译码器实现 (20)

4.4.2八段译码器仿真 (21)

4.4.3八段译码器电路综合 (22)

4.5控制器 (23)

4.5.1控制器 (23)

4.5.1控制器仿真 (24)

4.5.3控制器电路综合 (25)

5.2View Technology Schematic : (26)

5.3管脚锁定: (27)

6.实验结论 (27)

1.秒表设计要求

(1)秒表的计时范围为00:00:00 ~ 59:59:99。

(2)两个按钮开关Start/Stop和Split/Reset,控制秒表的启动、停止、分段和复位:

在秒表已经被复位的情况下,按下“Start/Stop”键,秒表开始计时。在秒表正常运行的情况下,如果按下“Start/Stop”键,则秒表暂停计时;再次按下该键,秒表继续计时。在秒表正常运行的情况下,如果按下“Split/Reset”键,显示停止在按键时的时间,但秒表仍然在计时;再次按下该键,秒表恢复正常显示。在秒表暂停计时的情况下,按下“Split/Reset”键,秒表复位归零。

2.设计思路

2.1功能模块

2.1.1分频器

对晶体振荡器产生的时钟信号进行分频,产生时间基准信号

2.1.2计数器

对时间基准脉冲进行计数,完成计时功能

2.1.3数据锁存器

锁存数据使显示保持暂停

2.1.4控制器

通过产生锁存器的使能信号来控制计数器的运行、停止以及复位

设计分析:

2.1.5扫描显示的控制电路

包括扫描计数器、数据选择器和7段译码器,控制8个数码管以扫描方式显示计时结果,原理图如下:

2.1.6显示电路

2.1.7按键消抖电路

消除按键输入信号抖动的影响,输出单脉冲

按键按下时,FPGA 的输入为低电平;松开按键时,FPGA 的输入为高电平 但是在按下按键和松开按键的瞬间会出现抖动现象

2.2

电路框图

实验板上的数码管为共阳LED 数码管

实验电路板上的按键

3.电路实现

---------------------------------------------------------------------------------- -- Company:

-- Engineer:

--

-- Create Date: 09:08:39 03/12/2011

-- Design Name:

-- Module Name: stopwatch_1 - Behavioral

-- Project Name:

-- Target Devices:

-- Tool versions:

-- Description:

--

-- Dependencies:

--

-- Revision:

-- Revision 0.01 - File Created

-- Additional Comments:

--

---------------------------------------------------------------------------------- library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating

---- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;

entity stopwatch_1 is

Port (

Clk : in STD_LOGIC;

start_stop : in STD_LOGIC;

split_reset : in STD_LOGIC;

ncs : out STD_LOGIC;

s : out STD_LOGIC_VECTOR(2 downto 0);

seg : out STD_LOGIC_VECTOR (7 downto 0)

);

end stopwatch_1;

architecture Behavioral of stopwatch_1 is

signal k1,k2,k3,k4: STD_LOGIC;

signal cnt_1,cnt_2 : STD_LOGIC_VECTOR(1 downto 0);

signal start_stop_out,split_reset_out: STD_LOGIC;

signal count: STD_LOGIC_VECTOR(15 downto 0):=(others=>'0');

signal clk_1k: STD_LOGIC;

signal z0,z1,z2,z3,z4,z5,z6,q1,q2,q3,q4,q5,q6 : STD_LOGIC_VECTOR(3 downto 0):=(others=>'0');

signal count_2: STD_LOGIC_VECTOR(2 downto 0 ):=(others=>'0');

signal in_7: STD_LOGIC_VECTOR(3 downto 0);

signal sreg: STD_LOGIC_VECTOR(2 downto 0):="111";

signal snext: STD_LOGIC_VECTOR(2 downto 0);

Begin

---------------------------------------------------------为三八译码器置入使能信号ncs <= '0';

---------------------------------------------------------分频电路

process(clk)

begin

if rising_edge(clk) then

if count = 47999 then

count <=(others=>'0');

else

count <= count+1;

end if;

end if;

end process;

clk_1k <= count(15);

---------------------------------------------------------同步计数电路process(clk_1k,sreg(2))

begin

if rising_edge(clk_1k) then

if sreg(2) = '1' then

z0<=(others=>'0');

z1<=(others=>'0');

z2<=(others=>'0');

z3<=(others=>'0');

z4<=(others=>'0');

z5<=(others=>'0');

z6<=(others=>'0');

elsif sreg(1) = '1' then

z0 <= z0+1;

if z0 = 9 then

z0 <=(others=>'0');

z1 <= z1+1;

if z1 = 9 then

z1 <=(others=>'0');

z2 <= z2+1;

if z2 = 9 then

z2 <=(others=>'0');

z3 <= z3+1;

if z3 = 9 then

z3 <= (others=>'0');

z4 <= z4+1;

if z4 = 5 then

z4 <= (others=>'0');

z5 <= z5+1;

if z5 = 9 then

z5 <= (others=>'0');

z6 <= z6+1;

if z6 = 5 then

z6 <= (others=>'0');

end if;

end if;

end if;

end if;

end if;

end if;

end if;

end if;

end if;

end process;

---------------------------------------------------------扫描计数器process(clk_1k)

begin

if rising_edge(clk_1k) then

count_2 <= count_2+1;

end if;

end process;

s <= count_2;

---------------------------------------------------------锁存器process(sreg(0),z1,z2,z3,z4,z5,z6)

begin

if sreg(0) = '1' then

q1 <= z1;

q2 <= z2;

q3 <= z3;

q4 <= z4;

q5 <= z5;

q6 <= z6;

end if;

end process;

---------------------------------------------------------

process(count_2,q1,q2,q3,q4,q5,q6)

begin

case count_2 is

when "000" => in_7 <= q1;

when "001" => in_7 <= q2;

when "011" => in_7 <= q3;

when "100" => in_7 <= q4;

when "110" => in_7 <= q5;

when "111" => in_7 <= q6;

when others => in_7 <= "1111";

end case;

end process;

---------------------------------------------------------八段译码器process(in_7)

begin

case in_7 is

when "0000" => seg <="00000011";

when "0001" => seg <="10011111";

when "0010" => seg <="00100101";

when "0011" => seg <="00001101";

when "0100" => seg <="10011001";

when "0101" => seg <="01001001";

when "0110" => seg <="01000001";

when "0111" => seg <="00011111";

when "1000" => seg <="00000001";

when "1001" => seg <="00001001";

when others => seg <="11111101";

end case;

end process;

---------------------------------------------------------按键去抖电路process(clk_1k,start_stop)

begin

if clk_1k'event and clk_1k='0' then

if cnt_1 = 3 then

k1 <= '1';

else

k1 <= '0';

cnt_1 <= cnt_1+1;

end if;

k2 <= k1;

end if;

if start_stop = '0' then

cnt_1 <= "00";

end if;

end process;

start_stop_out <= not k1 and k2;

process(clk_1k,split_reset)

begin

if clk_1k'event and clk_1k='0' then

if cnt_2 = 3 then

k3 <= '1';

else

k3 <= '0';

cnt_2 <= cnt_2+1;

end if;

k4 <= k3;

end if;

if split_reset = '0' then

cnt_2 <= "00";

end if;

end process;

split_reset_out <= not k3 and k4;

---------------------------------------------------------控制器

process(clk_1k,start_stop_out,split_reset_out)

begin

if rising_edge(clk_1k) then

sreg <= snext;

end if;

end process;

process(start_stop_out,split_reset_out,sreg)

begin

case sreg is

when "111" => if start_stop_out = '1' and split_reset_out = '0' then

snext <= "011";

else snext <= sreg;

end if;

when "011" => if start_stop_out = '1' and split_reset_out = '0' then

snext <= "001";

elsif start_stop_out = '0' and split_reset_out = '1'

then snext <= "010";

else snext <= sreg;

end if;

when "001" => if start_stop_out = '0' and split_reset_out = '1' then

snext <= "111";

elsif start_stop_out = '1' and split_reset_out = '0'

then snext <= "011";

else snext <= sreg;

end if;

when "010" => if start_stop_out = '0' and split_reset_out = '1' then

snext <= "011";

else snext <= sreg;

end if;

when others => snext <= "111";

end case;

end process;

end Behavioral;

注:控制器设计时,巧妙地将状态编码和控制器输出的控制信号编码合二为一,即状态编码也是控制信号编码,使得程序形式上更为简单、清晰。

4.程序仿真

4.1分频器

entity fp is

Port ( clk_48M : in STD_LOGIC;

clk_1k : out STD_LOGIC);

end fp;

architecture Behavioral of fp is

signal count: STD_LOGIC_VECTOR(15 downto 0):=(others=>'0'); begin

process(clk_48M)

begin

if rising_edge(clk_48M) then

if count = 47999 then

count <= (others=>'0');

else

count <= count+1;

end if;

end if;

end process;

clk_1k <= count(15);

end Behavioral;

tb : PROCESS

BEGIN

clk_48M <= '1'; wait for 10.4 ns;

clk_48M <= '0'; wait for 10.4 ns;

END PROCESS;

4.1.1计数器电路综合

4.1.2计数器电路仿真

由图可得分频后的信号周期T=999333718ps≈0.001s即的到了1KHz的信号

4.2.1计数器实现

entity count_6 is

Port ( clk_1k : in STD_LOGIC;

d1 : out STD_LOGIC_VECTOR(3 downto 0);

d2 : out STD_LOGIC_VECTOR(3 downto 0);

d3 : out STD_LOGIC_VECTOR(3 downto 0);

d4 : out STD_LOGIC_VECTOR(3 downto 0);

d5 : out STD_LOGIC_VECTOR(3 downto 0);

d6 : out STD_LOGIC_VECTOR(3 downto 0));

end count_6;

architecture Behavioral of count_6 is

signal z0,z1,z2,z3,z4,z5,z6: STD_LOGIC_VECTOR(3 downto 0):=(others=>'0');

signal clr,en: STD_LOGIC;

Begin

clr <= '0'; ---------------------------------------------清零无效

en <= '1'; ---------------------------------------------计数使能有效

d1 <= z1;

d2 <= z2;

d3 <= z3;

d4 <= z4;

d5 <= z5;

d6 <= z6;

process(clk_1k,clr)

begin

if rising_edge(clk_1k) then

if clr = '1' then

z0<=(others=>'0');

z1<=(others=>'0');

z2<=(others=>'0');

z3<=(others=>'0');

z4<=(others=>'0');

z5<=(others=>'0');

z6<=(others=>'0');

elsif en = '1' then

z0 <= z0+1;

if z0 = 9 then

z0 <=(others=>'0');

z1 <= z1+1;

if z1 = 9 then

z1 <=(others=>'0');

z2 <= z2+1;

if z2 = 9 then

z2 <=(others=>'0');

z3 <= z3+1;

if z3 = 9 then

z3 <= (others=>'0');

z4 <= z4+1;

if z4 = 5 then

z4 <= (others=>'0');

z5 <= z5+1;

if z5 = 9 then

z5 <= (others=>'0');

z6 <= z6+1;

if z6 = 5 then

z6 <= (others=>'0');

end if;

end if;

end if;

end if;

end if;

end if;

end if;

end if;

end if;

end process;

end Behavioral;

4.2.2计数器仿真

tb: PROCESS

BEGIN

clk_1k <= '0';wait for 0.5 ms;

clk_1k <= '1';wait for 0.5 ms;

END PROCESS;

0.01s位

由图可以看出为十进制

0.1s位

由图可以看出为十进制

1s位

由图可以看出为十进制10s位

由图可以看出为六进制

1min位

由图可以看出为十进制10min位

由图可以看出为六进制4.2.3同步计数器电路综合

4.3按键消抖电路

4.3.1按键消抖电路实现

entity quedou is

Port ( clk_1k : in STD_LOGIC;

key_in : in STD_LOGIC;

key_out : out STD_LOGIC);

end quedou;

architecture Behavioral of quedou is

signal k1,k2: STD_LOGIC;

signal cnt_1: STD_LOGIC_VECTOR(1 downto 0); begin

process(clk_1k,key_in)

begin

if clk_1k'event and clk_1k='0' then

if cnt_1 = 3 then

k1 <= '1';

else

k1 <= '0';

cnt_1 <= cnt_1+1;

end if;

k2 <= k1;

end if;

if key_in = '0' then

cnt_1 <= "00";

end if;

end process;

key_out <= not k1 and k2;

end Behavioral;

4.3.2按键消抖电路仿真

数字式秒表实验报告

数字式秒表实验报告 摘要 本次设计任务是设计一个数字式秒表 经查阅资料后我把实验分为1.脉冲产生部分。2.电路控制部分。3.计数部分4.译码部分。5显示部分。 脉冲产生部分我选择555多谐振荡器,产生100Hz的脉冲。经参考资料,电路控制部分:启动和暂停控制开关使用由RS触发器组成的无抖动开关。 使用74ls160计数器计数,7447译码器驱动共阳极七段显示器。 实验要求 1.秒表最大计时值为99分59.99秒; 2. 6位数码管显示,分辨率为0.01秒; 3 .具有清零,启动计时,暂停及继续计数等控制功能; 4.控制操作间不超过二个。 实验分析 数字式秒表,所以必须有一个数字显示。按设计要求,须用七段数码管来做显示器。题目要求最大记数值为99,59,99,那则需要六个数码管。要求计数分辨率为0.01秒,并且需要相应频率的信号发生器。 选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。经过查询资料,555多谐振荡器性能稳定,故采用555多谐振荡器。 数字式秒表是一个频率(100HZ)进行计数的计数电路。由于数字式秒表计数的需要,故需要在电路上加一个控制电路,该控制电路清零、启动计时、暂停及继续计数等控制功能,同时100HZ的时间信号必须做到准确稳定。数字电子钟的总体图如图所示。由图可见,

数字电子钟由以下几部分组成:555振荡器秒脉冲发生器,防抖开关;秒表控制开关;一百进制秒、分计数器、六十进制秒计数器;以及秒、分的译码显示部分等 七段显示器 译码器译码器译码器 100进制计数器 60进制计数器 100进制计数器 控制开关 555多谐振器 1. 555构成的多谐振荡器 555构成的多谐振荡器电路图

“秒表测时”实验报告Word版

“秒表测时”实验报告 一、实验任务 利用秒表对电脑主机主要元件装配作业进行测时,计算标准时间 二、实验目的 1、掌握秒表测时技术; 2、掌握标准时间的制定原理、方法、程序和步骤; 3、学会正确划分各测时单元及其计时点,并学会确定正确的宽放率; 4、掌握必要的软件工具。 三、实验原理 1、秒表测时的定义 2、秒表测时的用途 3、测时单元的划分 四、实验设备、仪器、工具及资料 1、电脑主机 2、计算机 3、装拆工具、笔、纸、记录表格 4、秒表、计算器 五、实验过程 1、实验分组,每四人一组,两人负责装配产品,两人负责观测记录 2、收集资料,实验准备,布置工作地 3、划分操作单元,确定计时点 4、测时 采用连续法记录时间研究,在现场记录时用铅笔填写秒表读数“W.R”,见附件:时间研究表(一)。计算基本时间“B.T”。 4、填写时间研究表(二),剔除异常值,用三倍标准法决定正常值范围(正常值范围在x±3σ内)。 5、决定宽放时间 取宽放率为:15%。宽放时间=正常时间×宽放率

6、计算标准时间:标准时间=平均操作时间×评比系数+宽放时间 六、整理时间研究表(一)和时间研究表(二) 时间研究表(一)(现场记录)

时间研究表(二)(统计表)

七、绘制管制界限图 对每一个操作单元进行异常值剔除,选取其中一个操作单元绘制其管制界限图 1、剔除异常值 (1)、操作单元1: n X X n i i ∑== 1 11(其中n=8) 计算得69.71=X n X X n i i ∑=-= 1 2 111)(σ 计算得=1σ 1.48 正常值为σ3±X 之内,即在(3.25,12.13)之间,所以操作单元1无异常值 (2)、操作单元2: n X X n i i ∑== 1 22(其中n=8) 计算得=2X 6.79 n X X n i i ∑=-= 1 2 222)(σ 计算得=2σ0.28 正常值为σ3±X 之内,即在(5.95,7.63)之间,所以操作单元2无异常值 (3)、操作单元3: n X X n i i ∑== 1 33(其中n=8) 计算得=3X 6.42 n X X n i i ∑=-= 1 2 333)(σ 计算得=3σ0.32 正常值为σ3±X 之内,即在(5.46,7.38)之间,所以操作单元3无异常值 (4)、操作单元4: n X X n i i ∑== 1 44(其中n=8) 计算得=4X 6.55 n X X n i i ∑=-= 1 2 444)(σ 计算得=4σ0.90

信息科学与工程学院综合性设计性实验报告

重庆交通大学信息科学与工程学院 综合性设计性实验报告 专业:通信工程专业11级 学号:0204 姓名:何国焕 实验所属课程:宽带无线接入技术 实验室(中心):软件与通信实验中心 指导教师:吴仕勋 一、题目 OFDM系统的CFO估计技术 二、仿真要求 要求一:OFDM系统的数据传输 ①传输的数据随机产生; ②调制方式采用16QAM; 要求二:要求对BER的性能仿真 设计仿真方案,比较两个CFO的性能(基于CP与基于训练符号Moose),并画出不同SNR下的两种估计技术的均方差(MSE)性能。

三、仿真方案详细设计 1、首先OFDM技术的基本思想和现状了解。认真学习OFDM技术的基本原理,包括OFDM系统的FFT实现、OFDM系统模型、OFDM信号的调制与解调、OFDM信号的正交性原理,根据PPT及网上查阅资料加以学习。其次,了 解OFDM的系统性能,包括OFDM系统的同步技术及训练序列等。 2、同步技术:接收机正常工作以前,OFDM系统至少要完成两类同步任务: ①时域同步,要求OFDM系统确定符号边界,并且提取出最佳的采样时钟,从而减小载波干扰(ICI)和码间干扰(ISI)造成的影响。 ②频域同步,要求系统估计和校正接收信号的载波偏移。在OFDM系统中,N个符号的并行传输会使符号的延续时间更长,因此它对时间的偏差不敏感。对于无线通信来说,无线信道存在时变性,在传输中存在的频率偏移会使OFDM 系统子载波之间的正交性遭到破坏。 3、载波频率的偏移会使子信道之间产生干扰。OFDM系统的输出信号是多个相互覆盖的子信道的叠加,它们之间的正交性有严格的要求。无线信道时变性的一种具体体现就是多普勒频移引起的CFO,从频域上看,信号失真会随发送信道的多普勒扩展的增加而加剧。因此对于要求子载波严格同步的OFDM 系统来说,载波的频率偏移所带来的影响会更加严重,如果不采取措施对这种信道间干扰(ICI)加以克服,系统的性能很难得到改善。 OFDM系统发射端的基本原理图OFDM信号频谱 4、训练序列和导频及信道估计技术 接收端使用差分检测时不需要信道估计,但仍需要一些导频信号提供初始的相位参考,差分检测可以降低系统的复杂度和导频的数量,但却损失了信噪

数字秒表的设计与实现实验报告课件

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

秒表实验报告

实验三:秒表实验报告 一、实验目的 1、了解74ls273的工作原理; 2、看懂8086工作的时序图,并且掌握8086总线的技术; 3. 通过此实验,熟悉protues7.9的基本操作和工作环境以及MASM32文件的建立与运行; 二、实验原理 用74ls273扩展IO口,通过片选信号和写信号奖数据总线上的值锁存在273中,同时在273的输出端口输出,当数据总线上的值撤销以后,由于74ls273能够锁存信号,所以273的输出端保持不变,直到下次有新的数据被锁存,通过按键可以控制数据的变化,cpu根据按键变化控制输出,通过总线就可以控制数码管的变化,显示出秒表的效果。 3、实验步骤 1、根据实验目的及内容在proteus画出电路图,如下图所示 2、画好电路图后用汇编软件,按要求写出实验代码,代码如下: .MODEL SMALL .8086 .stack .code

.startup MOV DX,0200H LOOP0: MOV BL,SEC AND BX,000FH MOV SI,BX MOV AL,SITUATION[SI] MOV BL,SEC AND BX,00F0H MOV CL,4 SHR BX,CL MOV SI,BX MOV AH,SITUATION[SI] OUT DX,AX CALL DELAY MOV AL,SEC ADD AL,1 DAA MOV SEC,AL CMP SEC,60H JB LOOP0 MOV SEC,0 JMP LOOP0 DELAY PROC NEAR PUSH BX PUSH CX MOV BX,50 DEL1: MOV CX,5882 DEL2: LOOP DEL2 DEC BX JNZ DEL1 POP CX POP BX RET DELAY ENDP .data SEC DB 00H

单片机秒表实验报告

安徽科技学院机电与车辆工程学院 《电子电路课程综合实训》 验收材料 题目: 电子秒表 姓名(学号) 胡斌1609110208 李绪1609110214 王增龙1609110227 段鑫鹏 专业: 电气工程及其自动化 班级: 112班 指导教师:叶爱芹 2013 年 12 月 29日

目录 第一章单片机课程设计任务书 (1) 一、目的意义 (1) 二、设计时间、地点和班级 (1) 三、设计内容 (1) 四、参考电路图形 (2) 五、单片机的相关知识 (3) 第二章硬件设计 (5) 一、单片机简介 (5) 二、电源电路 (5) 三、晶振振荡电路 (5) 四、复位电路 (5) 五、显示电路 (6) 六、键盘电路 (6) 七、硬件主电路图设计 (7) 八、元件清单 (7) 第三章软件设计 (8) 一、软件设计概述 (8) 二、主程序流程图 (8) 三、程序中各函数设计 (8) 四、C语言主程序设计 (10) 第四章课程设计体会 (13) ..

五、单片机相关知识 本课题在选取单片机时,充分借鉴了许多成形产品使用单片机的经验,并根据自己的实际情况,选择了AT89C51。 AT89C51单片机采用40引脚的双列直插封装方式。图1.2为引脚排列图,40条引脚说明如下: 主电源引脚Vss和Vcc ①Vss接地 ②Vcc正常操作时为+5伏电源 外接晶振引脚XTAL1和XTAL2 ①XTAL1内部振荡电路反相放大器的输入端,是外接晶体的一个引脚。当采用外部振荡器时,此引脚接地。 ②XTAL2内部振荡电路反相放大器的输出端。是外接晶体的另一端。当采用外部振荡器时,此引脚接外部振荡源。 图1.2 AT89C51单片机引脚图 控制或与其它电源复用引脚RST/VPD,ALE/PROG,PSEN和EA/Vpp ①RST/VPD 当振荡器运行时,在此引脚上出现两个机器周期的高电平(由低到高跳变),将使单片机复位在Vcc掉电期间,此引脚可接上备用电源,由VPD向内部提供备用电源,以保持内部RAM中的数据。 ②ALE/PROG正常操作时为ALE功能(允许地址锁存)提供把地址的低 字节锁存到外部锁存器,ALE 引脚以不变的频率(振荡器频率的1/6)周期性地发出正脉冲信号。因此,它可用作对外输出的时钟,或用于定时目的。但要注意,每当访问外部数据存储器时,将跳过一个ALE脉冲,ALE 端可以驱动(吸收或输出电流)八个LSTTL电路。对于EPROM型单片机,在EPROM编程期间, 此引脚接收编程脉冲(PROG功能)

CSS页面布局及样式设计实验报告

实验项目名称: CSS页面布局及样式设计 (所属课程:web系统与技术) 学院:计算机学院专业班级:11级计科信息姓名:学号: 实验日期:实验地点:A06-404 合作者:指导教师:李 本实验项目成绩:教师签字:日期: 一、实验目的 (1)掌握CSS中的定位属性使用方法。 (2)掌握DIV+CSS的页面布局方式。 (3)掌握CSS中的常用属性的使用方法。 (4)理解CSS的样式构造。 二、实验条件 安装Web开发环境的微机。 三、实验内容 (1)重新对聊天室的注册页面、登陆页面和聊天页面进行页面布局。 (1)对聊天室的注册页面、登陆页面和聊天页面进行样式设计。 四、实验步骤 (1)注册页面使用CSS将注册表单居中显示,表单内嵌入表格将文本与输入域格式化显示,表单内使用label标签。 (2)登录页面中添加div层用于显示在线用户数。 (3)登录页面使用div将登录表单,在线用户数,logo图片,超链接等页面元素重新定位布局。 (4)聊天页面改用div标签并使用CSS的position定位属性进行布局,框架内的独立页面使用float属性进行布局。 (5)使用CSS设置三个页面的背景颜色或背景图片。 (6)注册页面使用CSS设计所有输入框和提交按钮的样式。 (7)登录页面使用CSS设置的超链接的字体和下划线、登录表单使用圆顶角、在线用户数使用图片数字,使用CSS设计登录按钮的显示样式。

(8)聊天页面中使用CSS设计信息发送表单和发送按钮的样式,设计用户信息列表和聊天信息段落的的显示样式。 五、实验结果 注册界面效果图及代码: //总体框架 //添加图片代码 //用户注册信息代码

注册信息

用户姓名:

用户密码:



--> 姓    别:

EDA实验报告-数字秒表

EDA实验报告 数字秒表的设计 指导老师:谭会生 班级:电技1503 学号: :博 交通工程学院 2017.10.28

实验二数字秒表电路的设计 一、实验目的 1.学习Quartus Ⅱ软件的使用方法。 2.学习GW48系列或其他EDA实验开发系统的基本使用方法。 3.学习VHDL程序的基本结构和基本语句的使用。 二、实验容 设计并调试一个计时围为0.01s~1h的数字秒表,并用GW48系列或其他EDA实验开发系统进行硬件验证。 三、实验要求 1.画出系统的原理框图,说明系统中各主要组成部分的功能。 2.编写各个VHDL源程序。 3.根据系统的功能,选好测试用例,画出测试输入信号波形或编好测试程序。 4.根据选用的EDA实验开发装置偏好用于硬件验证的管脚锁定表格或文件。 5.记录系统仿真,逻辑综合及硬件验证结果。 6.记录实验过程中出现的问题及解决方法。 四、实验条件 1.开发软件:Quartus Ⅱ13.0. 2.实验设备:GW48系列EDA实验开发系统。 3.拟用芯片:EP3C55F484C7 五、实验设计 1.设计思路 要设计一个计时为0.01S~1h的数字秒表,首先要有一个比较精确的计时基准信号,这里是周期为1/100s的计时脉冲。其次,除了对每一个计数器需要设置清零信号输入外,还需为六个技术器设置时钟使能信号,即计时允许信号,以便作为秒表的计时起、停控制开关。因此数字秒表可由一个分频器、四个十进制计数器以及两个六进制记数器组成,如图1所示。

系统原理框图 2.VHDL程序 (1)3MHz→100Hz分频器的源程序CLKGEN.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY CLKGEN IS PORT(CLK: IN STD_LOGIC; --3MHZ信号输入 NEWCLK: OUT STD_LOGIC); --100HZ计时时钟信号输出 END ENTITY CLKGEN; ARCHITECTURE ART OF CLKGEN IS SIGNAL CNTER: INTEGER RANGE 0 TO 10#239999#; --十进制计数预置数 BEGIN PROCESS(CLK) IS BEGIN IF CLK' EVENT AND CLK='1'THEN IF CNTER=10#239999#THEN CNTER<=0; --3MHZ信号变为100MHZ,计数常熟为30000 ELSE CNTER<=CNTER+1; END IF; END IF; END PROCESS; PROCESS(CNTER) IS --计数溢出信号控制 BEGIN IF CNTER=10#239999#THEN NEWCLK<='1'; ELSE NEWCLK<='0';

简易秒表设计实验报告

实 验 报 告 系别 信工系 专业 班级 姓名 学号 简易秒表设计 实验目的: 1、 熟悉Keil C51软件的使用方法及proteus 仿真软件的使用; 2、 综合运用所学的理论知识(数码管、按键),通过实践加强对所学知识的理解,具备设计单片机应用系统的能力。 3、 通过本次试验,增强自己的动手能力。认识单片机在日常生活中的应用的广泛性,实用性。 设计要求: 制作简易秒表,用三个按键分别实现秒表的启动、停止与复位,利用两位共阴级的数码管显示时间。 设计思路: 硬件设计:数码管部分采用2位共阴极的数码管,在P0口接上拉电阻,公共端低电平扫描。按键电路部分,将按键一侧与单片机任一I/O 口相连。 软件设计:模块化思想,使用定时器T0的工作方式1,编写显示子程序,延时子程序,初始化程序,主程序设计时注意按键消抖。 原理图: XTAL218XTAL119ALE 30EA 31PSEN 29RST 9P0.0/AD0 39P0.1/AD1 38P0.2/AD2 37P0.3/AD3 36P0.4/AD4 35P0.5/AD5 34P0.6/AD6 33P0.7/AD7 32P1.01P1.12P1.23P1.34P1.45P1.56P1.6 7P1.7 8P3.0/RXD 10P3.1/TXD 11P3.2/INT0 12P3.3/INT1 13P3.4/T0 14P3.7/RD 17P3.6/WR 16P3.5/T1 15P2.7/A15 28P2.0/A8 21P2.1/A9 22P2.2/A10 23P2.3/A11 24P2.4/A12 25P2.5/A13 26P2.6/A14 27U1AT89C51C1 1nF C21nF R110k C31uF 234567891 RP1 RESPACK-8 源代码: #include<>

设计性实验报告格式

大学物理设计性实验报告 实验项目名称:万用表设计与组装实验仪 姓名:李双阳学号:131409138 专业:数学与应用数学班级:1314091 指导教师:_王朝勇王新练 上课时间:2010 年12 月 6 日

一、实验设计方案 实验名称:万能表的设计与组装试验仪 实验时间:2010年12月6日 小组合作: 是 小组成员:孙超群 1. 实验目的:掌握数字万用表的工作原理、组成和特性。 2. 掌握数字万用表的校准和使用。 3. 掌握多量程数字万用表分压、分流电路计算和连接;学会设计制作、使用多量程数字万用表 2、实验地点及仪器、设备和材料: 万用表设计与组装实验仪、标准数字万用表。 3、实验思路(实验原理、数据处理方法及实验步骤等): 1. 直流电压测量电路 在数字电压表头前面加一级分压电路(分压电阻),可以扩展直流电压测量的量程。 数字万用表的直流电压档分压电路如图一所示,它能在不降低输入阻抗的情况下,达到准确的分压效果。 例如:其中200 V 档的分压比为: 001.010*********==+++++M K R R R R R R R 其余各档的分压比分别为: 档位 200mV 2V 20V 200V 2000V 分压比 1 0.1 0.01 0.001 0.0001 图一 实用分压器电路 实际设计时是根据各档的分压比和总电阻来确定各分压电阻的,如先确定 M R R R R R R 1054321=++++=总 再计算200V 档的电阻:K R R R 10001.021==+总,依次可计算出3R 、4R 、5R 等各档的分压电阻值。换量程时,多刀量程转换开关可以根据档位调整小数点的位置,使用者可方便地直读出测量结果。 尽管上述最高量程档的理论量程是2000V ,但通常的数字万用表出于耐压和安全考虑,规定最高电压量限为1000V 或750V 。

FPGA设计的秒表设计实验报告

《FPGA原理及应用》 实验报告书 (7) 题目秒表设计 学院专业 姓名学号 指导教师 2015年10-12月 一、实验目的 掌握小型电路系统的 FPGA 设计法。 二、实验内容

用文本法结合原理图的方法设计一个秒表,并在实验箱上进行验证。秒表基本功能要求如下: (1)要求设置复位开关。当按下复位开关时,秒表清零并做好计时准备。在任何情况下只要按下复位开关,秒表都要无条件地进行复位操作,即使是在计时过程中也要无条件地进行清零操作。 (2)要求设置启/停开关。当按下启/停开关后,将启动秒表并开始计时,当再按一下启/停开关时,将终止秒表的计时操作。 (3)要求计时精确度大于秒。要求设计的计时器能够显示分(2 位)、秒(2 位)、秒(1 位)的时间。 (4)要求秒表的最长计时时间为 1 小时。 要求外部时钟频率尽量高,分频后再给秒表电路使用。 三、实验条件 1、开发软件:QuartusⅡ 2、实验设备:KX_DN8EDS实验开发系统 3、拟用芯片:EP3C55F484C8 四、实验设计 1、六进制计数器 仿真波形

2、十进制计数器 3、分频计 4、七段数码管译码器

5、100进制原理图 6、60进制原理图 7、秒表原理图

8、管脚锁定 新建好工程文件,芯片选择Cyclone Ⅲ下面的EP3C55F484C8系列。然后锁定引脚:选择Assignments → Assignments Editor命令。

9、编译文件下载 将编译产生的SOF格式配置文件下载进FPGA中。 10、FPGA实验箱接线 在KX-EDA40A++实验箱上进行连线,分配J4,J5的引脚,输入CLK(PIN_接到时钟信号,输入的EN,RST 接到电平开关L1,L2。 五、实验总结 经过本次实验,我对QuartusⅡ的使用认识更加深刻,对FPGA技术有了更深层次的认识,有助于我对以后的电子电路设计有极大帮助。

设计性实验报告

计算机与信息工程学院设计性实验报告 一、 实验目的 1.掌握线性时不变系统的两种描述形式—传递函数描述法、零极点增益描述法。 2.掌握两种描述形式之间的转换。 3.掌握连续和离散系统频率响应的求解 二、 实验仪器或设备 装MATLAB 软件的计算机一台。 三、 实验内容 1. 生成20个点的单位脉冲信号、单位阶跃信号,并记录下函数命令和波形。 2. 生成占空比为30%的矩形波。 3. 将连续系统 4)(s )21)(s (s 3) 1)(s -(s 0.5H(s)++++=转化为传递函数模型的描述形式。 4. 将离散系统 4-3-2-1--2 -10.5z 0.9z -1.3z 1.6z -12z 5z 3H(z)++++=转化为传递函数和零极点增益模型的的描述形式。

四、实验步骤(包括主要步骤、代码分析等) 1. 生成20个点的单位脉冲信号、单位阶跃信号,并记录下函数命令和波 形。 程序: clear,clc,close %清除变量空间变量,清除命令窗口命令,关闭图形窗口 t=-10:9; %取20个点 ft1=(t==0); %单位脉冲信号函数 ft2=(t>=0); %单位阶跃信号函数 subplot(1,2,1),stem(t,ft1,'m-o') %图像窗口1行2列的第1个子图绘制单位脉冲信号图形 title('20个点的单位脉冲信号'); %设置标题为“20个点的单位脉冲信号” subplot(1,2,2),stem(t,ft2) %图像窗口1行2列的第2个子图绘制单位阶跃信号图形 title('20个点的单位阶跃信号'); %设置标题为“20个点的单位阶跃信号” 2. 生成占空比为30%的矩形波。 程序: clear,clc,close %清除变量空间变量,清除命令窗口命令 x=0:0.001:0.6; %设置变量x的值范围 y=square(2*pi*10*x,30); %用square函数得到占空比为30%的矩形波 plot(x,y,'m'); %绘制矩形波的图像

电子秒表设计实验报告

淮阴工学院 《数字电子技术》课程实验期末考核 2014-2015学年第2学期实验名称:电子秒表电路的设计 班级: 学号: 姓名: 学院:电子与电气工程学院 专业:自动化 系别:自动化 指导教师:《数字电子技术》实验指导教师组成绩: 2015年07月

电子秒表电路的设计 一、实验目的 1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。 2 .学习电子秒表的调试方法。 二、实验原理 图11 -1 为电子秒表的电原理图。按功能分成四个单元电路进行分析。

1.基本RS 触发器 图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。 按动按钮开关K 2(接地),则门1 输出=1 ;门2 输出Q =0 ,K 2 复位 后Q 、状态保持不变。再按动按钮开关K 1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。由1 变0 ,送出负脉冲,启动单稳态触发器工作。 基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。 2. 时钟发生器 图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的 时钟源。 调节电位器 R W ,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于 计数器①的计数输入端CP 2 。

图11-2 单稳态触发器波形图图11-3 74LS90引脚排列 3.计数及译码显示 二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。其中计数器①接成五进制形式,对频率为50HZ 的时钟 取得周期为0.1S 的矩形脉冲,作为计数器②的脉冲进行五分频,在输出端Q D 时钟输入。计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。 注:集成异步计数器74LS90 74LS90 是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

大学物理综合设计性实验(完整)

综合设计性物理实验指导书黑龙江大学普通物理实验室

目录绪论 实验1 几何光学设计性实验 实验2 LED特性测量 实验3 超声多普勒效应的研究和应用 实验4 热辐射与红外扫描成像实验 实验5 多方案测量食盐密度 实验6 多种方法测量液体表面张力系数 实验7 用Multisim软件仿真电路 实验8 霍尔效应实验误差来源的分析与消除 实验9 自组惠斯通电桥单检流计条件下自身内阻测定实验10 用迈克尔逊干涉仪测透明介质折射率 实验11 光电效应和普朗克常数的测定液体电导率测量实验12 光电池输出特性研究实验 实验13 非接触法测量液体电导率

绪论 一.综合设计性实验的学习过程 完成一个综合设计性实验要经过以下三个过程: 1.选题及拟定实验方案 实验题目一般是由实验室提供,学生也可以自带题目,学生可根据自己的兴趣爱好自由选择题目。选定实验题目之后,学生首先要了解实验目的、任务及要求,查阅有关文献资料(资料来源主要有教材、学术期刊等),查阅途径有:到图书馆借阅、网络查询等。学生根据相关的文献资料,写出该题目的研究综述,拟定实验方案。在这个阶段,学生应在实验原理、测量方法、测量手段等方面要有所创新;检查实验方案中物理思想是否正确、方案是否合理、是否可行、同时要考虑实验室能否提供实验所需的仪器用具、同时还要考虑实验的安全性等,并与指导教师反复讨论,使其完善。实验方案应包括:实验原理、实验示意图、实验所用的仪器材料、实验操作步骤等。 2.实施实验方案、完成实验 学生根据拟定的实验方案,选择测量仪器、确定测量步骤、选择最佳的测量条件,并在实验过程中不断地完善。在这个阶段,学生要认真分析实验过程中出现的问题,积极解决困难,要于教师、同学进行交流与讨论。在这种学习的过程中,学生要学习用实验解决问题的方法,并且学会合作与交流,对实验或科研的一般过程有一个新的认识;其次要充分调动主动学习的积极性,善于思考问题,培养勤于创新的学习习惯,提高综合运用知识的能力。 3.分析实验结果、总结实验报告 实验结束需要分析总结的内容有:(1)对实验结果进行讨论,进行误差分析;(2)讨论总结实验过程中遇到的问题及解决的办法;(3)写出完整的实验报告(4)总结实验成功与失败的原因,经验教训、心得体会。实验结束后的总结非常重要,是对整个实验的一个重新认识过程,在这个过程中可以锻炼学生分析问题、归纳和总结问题的能力,同时也提高了文字表达能力。 在完成综合性、设计性实验的整个过程中处处渗透着学生是学习的主体,学生是积极主动地探究问题,这是一种利于提高学生解决问题的能力,提高学生的综合素质的教学过程。 在综合设计性实验教学过程中学生与教师是在平等的基础上进行探讨、讨论问题,不要产生对教师的依赖。有些问题对教师是已知的,但对学生是未知的,这时教师应积极诱导学生找到解决问题的方法、鼓励学生克服困难,并在引导的过程中帮助学生建立科学的思维方式和研究问题的方法。有些问题对教师也是一个未知的问题,这时教师应与学生共同思考共同解决问题。 二.实验报告书写要求 实验报告应包括:1实验目的;2实验仪器及用具;3实验原理;4实验步骤;5测量原始数据;6数据处理过程及实验结果;7分析、总结实验结果,讨论总结实验过程中遇到的问题及解决的办法,总结实验成功与失败的原因,经验教训、心得体会。 三.实验成绩评定办法 教师根据学生查阅文献、实验方案设计、实际操作、实验记录、实验报告总结等方面综合评定学生的成绩。 (1)查询资料、拟定实验方案:占成绩的20%。在这方面主要考察学生独立查找资料,并根据实验原理设计一个合理、可行的实验方案。 (2)实施实验方案、完成实验内容:占成绩的30%。考察学生独立动手能力,综合运用知识解决实际问题的能力。 (3)分析结果、总结报告:占成绩的20%。主要考察学生对数据处理方面的知识运用情况,分析问题的能力,语言表达能力。 (4)科学探究、创新意识方面:占成绩的20%。考察学生是否具有创新意识,善于发现问题并能解决问题。 (5)实验态度、合作精神:占成绩的10%。考察学生是否积极主动地做实验,是否具有科学、

电子秒表电路实验报告1

电子技术课程设计 报告 设计题目:电子秒表 院(部):物理与电子信息学院 专业班级:电子信息工程 学生姓名: 学号: 指导教师: 摘要

秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器六进制计数器多谐振荡器

目录 1.选题与需求分析 (1) 1.1设计任务 (1) 1.2 设计任务 (1) 1.3设计构思 (1) 1.4设计软件 (2) 2.电子秒表电路分析 (3) 2.1总体分析 (3) 2.2电路工作总体框图 (3) 3.各部分电路设计 (4) 3.1启动与停止电路 (4) 3.2时钟脉冲发生和控制信号 (4) 3.3 设计十进制加法计数器 (6) 3.4 设计六进制加法计数器 (7) 3.5 清零电路设计 (8) 3.7 总体电路图: (10) 4 结束语与心得体会 (12)

胰岛素设计性实验报告doc

胰岛素设计性实验报告 篇一:实验设计-修订版 胰岛素所致的低血糖休克及药物 和激素对血糖的影响 第一临床医学院XX级医学检验一班 设计人:郭英刘雨霏刘妮彭超 XX年3月12日 【题目】胰岛素所致低血糖休克及药物和激素对血糖的影响 【背景】 胰岛素是重要的内分泌激素之一,主要生理作用是全面地调节糖类代谢,同时也相应地调节脂肪和蛋白代谢。正常动物由于神经系统的调节和激素的相互作用,血液中胰岛素浓度是相对稳定的。若给正常动物注射胰岛素,可造成人胰岛素性低血糖症状。血糖浓度持续降低而出现交感神经兴奋性增高和脑功能障碍症群而导致的综合症就是低血糖休克。在实验条件下如果给动物注射过量的胰岛素,使动物体内胰岛素量骤然升高,可造成动物实验性低血糖,会使神经组织的正常代谢和功能发生障碍,以至产生痉挛昏迷,外部表现为惊厥,称之为胰岛素休克。小鼠的低血糖休克实验属于经典实验.传统的胰岛素休克实验目的是观察人工胰岛素性低血糖休克以及注射葡萄糖后的消失过程,以加深对胰岛素

生理作用的理解.但实验中一般不测定小鼠血糖的变化,只是观察胰岛素造成低血糖休克时的行为变化。 现阶段对胰岛素降低血糖的原理研究较多,其他药物和激素如甲状腺素、生长激素、糖皮质激素对血糖的研究也以较多,但都是单量试验,并未将多种激素和药物联合起来观察对血糖影响的研究。本次试验将通过制作胰岛素低血糖休克模型来同时观察多种药物和激素对血糖的影响。 体内降低血糖的激素只有胰岛素一种,但升高血糖的激素却不止胰高血糖素一种。糖皮质激素是一种胰岛素拮抗激素,可以增强肝脏中的糖原异生,促进肝糖原分解,抑制外周组织对葡萄糖的摄取和利用,从而导致血糖升高。而甲状腺素有促进生长发育的作用,也能够促进糖的吸收和糖异生,也可升高血糖。生长激素的主要生理功能是促进神经组织以外的所有其他组织生长;促进机体合成代谢和蛋白质合成;促进脂肪分解;对胰岛素有拮抗作用;抑制葡萄糖利用而使血糖升高等作用。但其剂量不同,对血糖的影响亦不同,本次试验就胰岛素等临床常见的与血糖有关的药物和激素对血糖的影响做相应的探讨。 【目的】 学习检测血糖的方法,观察胰岛素及药物和激素对血糖的影响,同时验证不同剂量的生长激素对血糖的影响不同,从而加深理解药物和激素影响血糖水平的机制。

数字秒表实验报告

EDA课程设计 题目:基于VHDL的数字秒表设计 学生姓名 学号 学院电子信息学院 专业 10通信工程 指导教师 二零一二年十二月

基于VHDL的数字秒表设计 摘要 当前电子系统的设计正朝着速度快,容量大,体积小,质量轻,省电的方向发展。推动该潮流迅速发展的决定性因素就是使用了现代化的EDA设计工具。此次课程设计先确定了系统的逻辑功能,选择电路结构,然后确定并设计电路所需的数据处理以及控制模块,在Quartus II上以超高速硬件描述语言VHDL为系统逻辑描述方法完成了数字秒表所需的分频模块,十进制计数控制模块,六进制计数控制模块与顶层设计和引脚分配,对其进行编译仿真,并下载到实验板上实际验证,通过本设计锻炼了计算机应用能力、VHDL语言的编程能力和Quartus II 的使用能力,此次设计圆满完成了用VHDL语言设计1/1000秒数字秒表并仿真和实际下载到ALTERA公司的ACEX1K系列的EP1K30TC144-3中实现。 关键词:EDA、Quartus II、VHDL、模块、仿真、ACEX1K Abstract The electronic system design is moving speed, large capacity, small volume, light weight, energy saving direction. The trend of rapid development of determinant is the use of modern EDA design tools. This course is designed to determine the logic function of the system, establish the algorithm process, selection of circuit structure and circuit design, and then determine the desired data processing and control module, in the Quartus II to very high speed hardware description language VHDL as the system logical description method for completing the digital stopwatch desired frequency module, decimal counting control module, base six counting control module with top design and pin assignment, the compiled simulation, and downloaded to the experiments on actual test and verify, through the design of exercise ability of computer application and VHDL programming language and Quartus II using capability, the design was completed by VHDL language design 1\/1000 seconds stopwatch and simulation and the actual download to ALTERA company's ACEX1K series EP1K30TC144-3 implementation. Key Words:EDA、Quartus II、VHDL、Module、Simulation、ACEX1K

打点计时器实验报告

实 验 报 告 班级 姓名 实验课题:用打点计时器测速度 实验目的:(1)认识打点计时器的结构及工作原理 (2)练习使用打点计时器,并根据纸带研究物体的运动 (3)根据纸带上的点迹求平均速度及粗略的测量物体的瞬时速度 (4)能认识、描绘v--t 图象,并根据v--t 图象判断物体的运动情况 实验器材:学生电源、导线、打点计时器、纸带、复写纸(斜面、小车、钩码)刻度尺 实验原理: 1、打点计时器的工作原理:打点计时器是利用电磁感应原理制造的,通过打点来计时的一种仪器。当通有交流电(4~6V )时,线圈变为一电磁铁(N 极,S 极不断变化),与永久磁铁相互作用,造成振片上下振动,带动振针在运动的纸带上打下一系列的点迹。由于交流电为50Hz ,故打下的每两个点之间的时间间隔为0.02秒,打下的点不仅记录了物体的位置,也记录了运动所用的时间。 2、测一段位移的平均速度:取纸带上某两点之间为研究对象,用刻度尺测出它们之间的距离Δx ,通过两点之间的间隔数n ,求出该段位移所用时间Δt=n ×0.02s,利用平均速度的公式求出该位移的平均速度。 3、粗略测量瞬时速度:测量某点(位置)瞬时速度时,在其两侧(包含该点)取一段小位移,求出其平均速度,可以粗略的代替该点的瞬时速度。 实验步骤: 1、 认识打点计时器的构造及工作原理: 2、 练习使用打点计时器: (1) 将打点计时器固定,熟悉实验仪器。 (2) 用导线将学生电源与打点计时器连接 (学生电源处于关闭状态)。 (3) 装好复写纸片及纸带,启动电源,用手水平拉动纸带,纸带上就打出一行小 点,随后立即关闭电源。

(4) 取下纸带,从能够看清的某个点开始,往后数出若干个点。如果数出n 个点, 由间隔数计算出第一个点到第n 个点的运动时间。 (5) 用刻度尺测量出第一个点到第n 个点的距离,由平均速度的公式,求出该段 位移内的平均速度。 3、 测量瞬时速度: (1)、取纸带上某一点为计时零点,每隔0.1s (5个间隔)取一测量点,分别用数字0, 1, 2,3,4,5标出这些“测量点”。 (3)将上表中的瞬时速度在v —t 图中描点连线,画出v —t 图象。 注意事项: 1、实验时注意人身安全及仪器安全, 打点计时器应使用10V 以下交流电源。 2、实验时先接通电源,再拉动纸带,实验 完毕立即关闭电源。 4、 手拉纸带时,速度应快些,以防点迹太密集。 5、 利用小车时,应用手接好小车,防止小车落地损坏实验仪器。

相关文档
相关文档 最新文档